• 통큰쿠폰이벤트-통합
  • 통합검색(152)
  • 리포트(147)
  • 자기소개서(5)

"Xilinx 프로그램" 검색결과 101-120 / 152건

  • 디지털논리회로 FSM 설계 유료 빨래방 구동회로
    회로는 Xilinx 프로그램을 사용하여 schematic을 구현한 후 알맞은 입력 값을 주어 시뮬레이션 한다.3.Additional Hypothesis1500원이 모여져서 세탁기가 ... Gate를 하나 더 추가함Design Objects of Top Level SymbolDesign Objects of schematic ( View of RTL Schematic)그래서 Xilinx
    리포트 | 13페이지 | 3,000원 | 등록일 2013.10.28
  • COUNTER
    reset이 가능한8 bit-up counter와 74LS193A counter를 설계해본다Xilinx ISE Webpack의 기능▶ VHDL 코드 합성Xilinx ISE는 유저가 ... 프로그램이 가능한 Logic Device, 회로 설계자가 직접회로를 구현할 수 있는 새로운 형태의 주문형 반도체이다. ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2010.03.26
  • Xilinx IP core의 설계 및 VHDL의 기초 설계법
    Error의 형태와 해결방안을 정리한 것이다.C++ Error : 2개 이상의 ISE가 실행되고 있을 때 나는 에러이고 1개의 ISE가 실행되고 있더라 하더라도 이전에 실행되었던 ISE 프로그램이 ... Xilinx IP core의 설계 및 VHDL의 기초 설계법목 차 TOC \o "1-2" \h \z \u HYPERLINK \l "_Toc184483310" 1.서론 PAGEREF ... Toc184483313" 2.2.Shift 레지스터 설계하기 PAGEREF _Toc184483313 \h 11 HYPERLINK \l "_Toc184483314" 3.VHDL을 이용한 Xilinx
    리포트 | 29페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • DECODER, ENCODER
    프로그램이 가능한 Logic Device, 회로 설계자가 직접회로를 구현할 수 있는 새로운 형태의 주문형 반도체이다. ... 합성Xilinx ISE는 유저가 코딩한 VHDL이나 Verilog 또는 SCH를 Netlist로 만드는 과정을 수행하도록 지원한다. ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • ADDER COMPARATOR
    코드를 완성한 후TIMING SIMULATION과 FUNTIONAL SIMULATION을 통해 결과값을 확인해본다.Xilinx ISE Webpack의 기능▶ VHDL 코드 합성Xilinx ... 프로그램이 가능한 Logic Device, 회로 설계자가 직접회로를 구현할 수 있는 새로운 형태의 주문형 반도체이다. ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 21페이지 | 1,000원 | 등록일 2010.03.26
  • FF, SP CONVERSION
    또한 F/F를 이용하여 8-bit serial-to-parallel register를 설계하고 시뮬레이션 해본다.Xilinx ISE Webpack의 기능▶ VHDL 코드 합성Xilinx ... 프로그램이 가능한 Logic Device, 회로 설계자가 직접회로를 구현할 수 있는 새로운 형태의 주문형 반도체이다. ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2010.03.26
  • Xilinx를 이용한 7-Segment 제어
    Name : FirstExam 소스 첨부) 0.5 초마다 7-Segments의 숫자를 증가 시키는 회로를 설계한다.이번 기술 문서부터는 프로젝트 환경 구축이나 PROM 파일 제작, 프로그램 ... 해당 내용은 “Xilinx PROM Configuration” 문서나 “Xilinx Clock Division” 문서를 참고하면 쉽게 이해할 수 있을 것이다.2) Port Design설계용 ... Verilog HDL and Xilinx ISE 8.1Design 7-Segment Controller[Using Clock Division Module]Contents TOC \o
    리포트 | 14페이지 | 1,000원 | 등록일 2010.04.10
  • M비트 가산기와 비교기
    M비트 가산기와 비교기IntroductionPurpose of the ExperimentFull adder와 Comparator에 대해서 알고 Xilinx ISE 프로그램을 이용하여
    리포트 | 26페이지 | 2,000원 | 등록일 2010.11.02
  • 디지털회로설계 FPGA와 Sea Of Gates
    이것은 다중의 전용 클럭션 빠른 산술계산을 위한 CLB, 전용 RAM과 고속의 I/O인터페이스와 내장 RISC 마이크로프로세서를 포함한다. 2003년 당시 최신인 Xilinx XCVP125 ... 첫 번째는 상호 연결을 영구히 프로그램하고 논리를 개인화하기 위해 퓨즈나 안티퓨즈와 같은 특별한 공정 선택사항을 사용한다. 이들은 한번만 프로그램 가능하다. ... 단점은 상호연결이 재프로그램 가능하지 않기 때문에 한번 프로그램된 칩은 상호 연결이 개인화된 채로 그 기능이 고정된다.전형적인 Actel 칩의 평면배치를 살펴보도록 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2013.02.11
  • 논리회로실험 - 제 9장 KIT의 출력방식 중 하나인 LCD display 결과보고서
    IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx ... 지정해야함-Character Generator ROM(C.G.ROM) : 글자발생기는 5*8 의 글자를 발생-Character Generator RAM(C.G.RAM) : 사용자가 프로그램으로 ... 탭에서 FPGA Start-Up Clock을 JTAG Clock으로 설정해야한다.다음으로 Generate Programming File을 실행시켜서 초록색 체크 표시가 생긴 뒤,프로그램
    리포트 | 22페이지 | 1,000원 | 등록일 2014.08.15
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    디지털 회로 설계, 그에 대한 시뮬레이션 및 에뮬레이션을 통해 프로그램을데 상당히 까다로울 수 있다. ... 다른 여러 프로그램들이 있지만 유료로 지원하는 형태여서 약간의 기능이 제한된 ISE Web Pack 을 받아야 한다.ㄴ. 설치설치는 비교적 간단하다. ... 클릭.ISE Web Pack 프로그램을 받기 전에 회원 가입 창이 뜨는데 여기서 간단하게 회원가입 한후 자신의 E-mail에서 license 계정을 확인한 후 로그인 후에 다운로드
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • 2-port OR gate
    설계 배경 및 목표이론적으로 배운 기본적인 VHDL의 개요를 알고 VHDL 프로그램 (Xilinx ISE, ModelSim XE)의 사용법을 습득한다. 2 Port AND Gate를 ... 토의이번 실험으로 처음으로 접했던 VHDL의 전반적인 개요와 그 VHDL 관련 프로그램(Xilinx ISE, ModelSim XE) 2개를 통해 2 port And Gate를 구현하여 ... 주로 설계 초기단계에서 알고리즘을 검증하거나 프로그램의 개념은 있으나 하드웨어에 대한 지식이 없는 개발자가 회로설계를 위해 사용한다.2.
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • xilinx
    iDrive 기능 코닥 계측 장치 지멘스 텔레매틱스 팹리스 방식으로 생산되는 PLD 의 쓰임새 PLD( 프로그래머블 로직 디바이스 ) : 칩 제조업체가 아닌 일반 고객들이 특정 기능을 프로그램하는 ... 다만 제조는 주문 생산 공장이라고 불리는 외부의 반도체 제조업체에 주문한다 .회사 소개 회사명의 기원 자일링스 (Xilinx) 이름은 FPGA 을 나타냄 : 두개의 X 는 논리 클러스터를 ... 창립자는 Xlinx 라는 이름이 마음에 들지 않아서 ' i ' 를 추가했고 그래서 자일링스 (Xilinx) 가 되었음 1984 년 설립 ' 프로그래머블 로직 솔루션 (Programmable
    리포트 | 13페이지 | 3,000원 | 등록일 2012.01.28
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    실 험 소 개 (Introduction)1) Purpose of the Experiment이 실험에서는 Xilinx ISE 9.2i 프로그램과 Spartan-3 board의 사용방법을 ... 이러한 비용 절감으로 인해 프로그램 가능 로직의 유연성과 시장 출시기간 단축이품군 출시 이래로 Xilinx는 거의 매년 향상된 Spartan 제품군을 꾸준하게 제공해 왔다. ... , JTAG cable 1개2) Method of the experiment프로그램 과정(1) Xilinx ISE 9.2i를 실행시켜 New project를 클릭한다.(2) 프로젝트
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    도구 HYPERLINK \l "Postlab" Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험에서는 Xilinx ISE 9.2i프로그램 설치 및 프로그램 조작법에 대한 ... 프로그램을 사용하는데 가장 기본적인 사용법이라고 생각하고, 많이 반복해 보았던 실험이었다.하지만, xilinx프로그램 상의 문제인지는 모르겠지만, 프로젝트저장을 했는데도 불구하고, ... 능력을 기르는 것에 초점을 맞추고, Xilinx ISE 9.2i프로그램을 사용하여 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • 서강대학교 디지털회로설계 HW2 FPGA GateArray_SoG
    이는 FPGA를 프로그램하는 원리가 다양하기 때문에 회사마다 기술에 맞는 기본 셀을 만들어 쓰기 때문이다. ... (Field Programmable Gate Array) 는 PLD(Programmable Logic Device) 와 프로그래머블 내부선이 포함된 반도체 소자이다. 1984년, Xilinx의 ... 그 중 대표적인 것을 정리해 보겠다.회사구조기본셀프로그램원리ActelAlteraCrosspointPlesseyQuikLogicXilinxGate ArrayExtended PLAGate
    리포트 | 10페이지 | 1,000원 | 등록일 2013.04.12
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 사용법 Post lab)
    실 험 소 개 (Introduction)1) Purpose of the Experiment이 실험에서는 Xilinx ISE 9.2i 프로그램과 Spartan-3 board의 사용방법을 ... 전자전기컴퓨터설계실험IIIPOSTLAB REPORT[The Usage of Xilinx ISE on Spartan-3]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l ... 이는 우리가 프로그램 작성시 입력 값에 “000”이 입력되면 “00000001”이 출력되도록 프로그램 했기 때문이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    도구 HYPERLINK \l "이론및프리랩" 이론 및 프리랩실험 목적이번 실험에서는 Xilinx ISE 9.2i프로그램 설치 및 프로그램 조작법에 대한 능력을 기르는 것에 초점을 맞추고 ... , Xilinx ISE 9.2i프로그램을 사용하여 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 ... Tact Switch : 4EA13. 50[Mhz] OscillatorSpecify the procedures of lecture 2 in depthXilinx ISE 9.2i 프로그램
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • 충북대학교 전기전자공학 디지털실험 7장예비보고서
    FPGA는 기존의 PLD에 비해 더욱 유연하고 용도가 많아서 디지털 시스템의 구현시 거의 사용되고 있다.본 실험에서는 MyCAD 프로그램을 사용하여 합성된 회로를 FPGA 칩으로 구현하여 ... 실험 6에서 설계한 4비트 산술 논리회로를 Xilinx FPGA 칩으로 구현하여 동작을 검증 한다.원 리IC 기술의 발달에 의해 더 많은 소자가 단일 칩에 집적화됨에 따라 디지털 시스템은 ... Xilinx ISE의 ’iMPACT'를 사용하여 configuration 하는 방법과, Atmel의 ‘CPS8.01'를 사용하여 configuration 하는 방법이 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2008.02.18
  • bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    프로그램을 활용하여 BCD코드를 Excess-3 코드로 변환시키는 변환기를 구현하는 것인데, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 ... 상세보기 전자전기컴퓨터설계실험ⅢBCD to Excess-3 code Converter목 차실험 목적 실험 도구 Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 Xilinx ... 얻을 수 있도록 구현해본다.실험 도구personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cablesPost Lab1
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대