• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(685)
  • 리포트(642)
  • 시험자료(29)
  • 자기소개서(6)
  • 논문(5)
  • 방송통신대(2)
  • 이력서(1)

"code-switchin" 검색결과 101-120 / 685건

  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    Change the inputs and outputs of your code to use switchesSW _{3-0} on the DE2-series board to represent ... Include this file in your project and assign the pins on the FPGA to connect to the switches and 7-segment ... You should use only simple Verilog assign statements in your code and specify each logic function as
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [예비레포트]
    Verilog code는 아래 그림21과 같다.그림 SEQ 그림 \* ARABIC 21 4BIT 감산기 code4BIT 감산기의 핀설정 code는 아래 그림22와 같다.그림 SEQ ... )를 시뮬레이션 하는 TestBench code는 아래 그림18,19와 같다.그림 SEQ 그림 \* ARABIC 18 전감산기 TB_1그림 SEQ 그림 \* ARABIC 19 전감산기 ... 같다.그림 SEQ 그림 \* ARABIC 16 전감산기 Code전감산기의 핀설정 code는 아래 그림17과 같다.그림 SEQ 그림 \* ARABIC 17 전감산기 핀설정전감산기(그림16
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 아주대학교 기초전기실험 A+ dc 2, dc 3 예비보고서
    오차, 최상복, 2004, 도서출판 골드5) 화학대사전 - 컬러코드, 세화 편집부, 2001, 도서출판 세화EXPERIMENT DC 3- Ohm’s Law -1. ... ;10. to assist colleagues and co-workers in their professional development and to support them in following ... 주어진 저항값들의 color code를 어떻게 배열할지 결정하고 각 색이 의미하는 수를 구한다.2. 주어진 저항값들의 오차 범위를 구한다.3.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [예비레포트]
    Modeling으로 설계된 D-FlipFlop의 코드는 아래 그림20과 같다.그림 SEQ 그림 \* ARABIC 20 D-FlipFlop codeD-FlipFlop을 Simulation ... 아래 그림 24과 같다.그림 SEQ 그림 \* ARABIC 24 4bit Shift Register code4bit Shift Register 를 기기와 연결하기 위한 PIN설정 code는 ... Simulation위에서 설계한 D-FlipFlop을 이용하여 Gate Primitive Modeling으로 설계한 4bit Shift Register 의 Verilog code
    리포트 | 16페이지 | 1,000원 | 등록일 2017.10.19
  • AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    char down_s=0, down_m=0;//using in mode_3unsigned char buff=0; //contain packed BCD code for display ... binary code using GPIOunsigned char buff_DOWN=0; //contain packed BCD code for display binary code using ... 주어진 코드를 분석했을 때 데이터 포트로 FND로 출력을 하는 GPIO, 스위치를 통한 INTERRUPT, 시간을 정확하게 측정하기 위한 TIMER, 그리고 알람을 위한 OCR의 원리를
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    위의 source code에서는 임의로 1과 0을 넣어주었지만, 변수가 구조체로 선언되어있거나 복잡할 경우 가시성이 떨어진다. ... 교안대로 enable = clock impulse의 경우, Input In0 혹은 Input In1이 들어왔을 때, 한 번만 enable되고 두 번째 clock은 무시되어야 한다. ... output 최상위bit에 0을 넣는다.clock은 1Mhz로 매우 빠른 속도로 돌고 있으므로 이에 대한 추가적인 조건을 넣어주지 않으면 사람이 아무리 빠르게 스위치를 누른다고 해도
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 아주대학교 논리회로실험 실험8 예비보고서
    and co-workers in their professional development and to support them in following this code of ethics.위 ... 실험목적- 카운터의 동작원리와 특성을 이해한다.- 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해한다.- 카운터를 이용해 디코딩과 인코딩의 코드변환 동작에 관해 실험하고 그 동작원리를 ... 위와 같이 구성한다.7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻고 스위치를 이용해 2, 3번 핀이 GND에 연결된 후부터 동작한다는 것을 인지하고 실험한다
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    Use functional simulation to verify that your code is correct? ... by using different switch settings.(3) Code변수를 선언하고 A,B, 그리고 cin을 sw[16]으로 하여 8bit adder를 만든다. ... Connect the inputs A and C to switchesSW _{15-8} and connect the inputs B and D to switchesSW _{7-0}.
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 논리회로실험 예비 5
    BCD는 각각의 코드 워드 비트에 고정된 가중치를 지정하여 곱하기 때문에 가중 코드(weighted code)라고 하며, 각nting code)라고 부르며, 논리 연산에서의 뺄셈을 ... 일반적으로 입력 코드는 출력 코드보다 적은 비트수를 가지며, 입력과 출력은 서로 일대일 대응된다.가장 널리 사용되는 구조는 입력이 n bit인 2진 code이고, 출력이2 ^{n}개의 ... and to support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부:
    리포트 | 12페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2021.04.08
  • 결과보고서 - Binary-to-BCD Convertor
    Convertor 설계실험목표4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계한다.실험 결과이번 실험에서는 switch문을 사용하여 ... 실험결 과 보 고 서디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부작성자 : 전기전자전파 공학부 ㅇㅇㅇ 학번 :실험조 : 7조 실험일 : 3. 31실험제목Binary-to-BCD ... 나오는 것을 볼 수 있다.실험 후 실험 결과를 캡쳐하는 과정에서 어떻게 하면 한 화면에 실험 결과 파형이 다 나오게 하는지 몰랐었는데, 다시 코드를 작성해 연습하는 과정에서 알게
    리포트 | 3페이지 | 1,000원 | 등록일 2017.11.08
  • 디지털논리회로실험(Verilog HDL) - Adders
    Write your Verilog code using simple assign statements to specify the required logic functions-do not ... The inputs to the circuit are BCD numbers A and B, plus a carry-in, cin. ... can include a “carry in” bit in the addition-Useful later when we connect smaller adders to form bigger
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 서울시립대 전자전기컴퓨터설계실험2 제04주 Lab03 Post
    A = 1, Input B = 0, C_in = 1Input A, C_in에 해당하는 bus switch 1, 3를 올릴 경우, C_out에 해당하는 LED 2만 불이 들어오는 것을 ... = 1C_in에 해당하는 bus switch 3만 올릴 경우, sum에 해당하는 LED 1만 불이 들어옴을 확인할 수 있다.Input A = 0, Input B = 1, C_in ... 확인할 수 있다.Input A = 1, Input B = 1, C_in = 1Input A, Input B, C_in에 해당하는 bus switch 1, 2, 3를 모두 올릴 경우
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 디시설 - 패리티 발생기, 검사기 설계
    이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다.실습 내용실습 결과패리티 발생기 VHDL 코드- 코드 주요 내용generic : generic은 파라미터의 ... 패리티 발생기의 [코드 3-45]에서 for~loop 문을 사용하지 않고 모든 입력을 동시에 XOR 연산한 후, 결과를 원래 데이터에 추가하는 방법으로 코드를 다시 작성하라. ... 의 개수가 홀수이면 ‘1’, 짝수이면 ‘0’이 나오게 함으로써 짝수패리티 발생기를 정상적으로 구현하였고, 동작을 확인하였다.패리티 검사기 VHDL 코드- 코드 주요 내용Procedure
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 시립대 전전설2 [8주차 예비] 레포트
    지난 실험 마지막 과제였던 4-bit counter의 출력 값을 FND와 FND Array에 표시하시오Parallel Load Data D~A: Bus Switch 1~4Count ... : LED 8변수 선언Load=1이면 out=in이 된다. ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 논리회로설계실습-FSM-결과보고서
    디바운싱 소스 코드SW_in은 채터링을 제거하기 전의 스위치 입력을 나타내며 하드웨어 내부에서 입력 스위치는 Active LOW이기 때문에 스위치를 눌렀을 경우 SW_in은 ‘0’이 ... D-FF의 딜레이를 이용한 디바운싱 코드를 과 같이 작성하였다. ... 순차회로 설계 - FSM실험목표스위치를 통한 하드웨어 작동에서의 채터링에 대하여 이해하고 이를 방지하기 위한 디바운싱 코드에 대하여 알아본다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • Honorifics and Code-switching in South Korea
    age difference result in code-switching as well. ... each character’s feature in figure 1 and analyzed speech pattern in relation to honorifics in code-switching ... Honorifics and Code-switching in South Korea1.
    리포트 | 13페이지 | 4,900원 | 등록일 2016.05.11 | 수정일 2016.05.14
  • Code-switching as an outlet of people`s desire for solidarity
    In this evidence, people who are already in-group members also permit an out-group person’s code-switching ... The first evidence can be found in ‘metaphorical code-switching’ situation. ... Among the choices the phenomenon which people choose a code and switch to it is called ‘code-switching
    리포트 | 7페이지 | 2,000원 | 등록일 2008.04.28
  • Principles of Language Learning and Teaching 핵심 요약 및 정리
    simultaneously-coordinate bilinguals: two meaning systems,-compound bilinguals" one meaning system-code-switchingusing ... Language is used for communication.6. Language operates in a speech community or culture.7. ... in second language learning-successful language learners make willing and accurate guesses-self-esteem
    리포트 | 32페이지 | 7,900원 | 등록일 2019.08.03 | 수정일 2020.05.18
  • 논리회로실험 예비 10
    and co-workers in their professional development and to support them in following this code of ethics.위 ... 아날로그 스위치는 해달 아날로그 신호를 외부로 부터의 제어 신호에 의해 On/Off하는 것을 의미한다. ... 기본적인 구조는 아래와 같다.Input이 인가된 상태에서, Reference Voltage에 의해 Analog Switch 동작이 이뤄진다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • 아주대학교 논리회로실험 실험10 예비보고서
    and co-workers in their professional development and to support them in following this code of ethics.위 ... (2개), Switch (2개)-저항 : 500Ω (2개) 1kΩ (2개), 1.5kΩ (5개), 2.7kΩ (1개), 4.5kΩ (2개), 4.7kΩ (1개), 10.5kΩ (1개 ... IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과과목명: 논리회로실험EXPERIMENT 10- D/A & A/D converter -1.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대