• 통큰쿠폰이벤트-통합
  • 통합검색(133)
  • 리포트(131)
  • 논문(2)

"ic 7490" 검색결과 101-120 / 133건

  • 논리 회로 설계 및 실험 디지털 시계 기말과제
    개의 7490IC의 리셋과 연결이 되어 실제 7490 두 개의 상태는 "00"이 된다. ... "시" 에서는 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11시 까지 올라가며 12시가 되는 순간 7490왼쪽의 9번, 8번 단자와 오른쪽 7490의 12번 단자가 두 ... 스위치를 누르면 "00"이 나타나며 다시 1초부터 카운트가 된다. 7490에서 2,3번 핀을 High로, 6번 핀을 Low로 하면 Reset 2,3번 핀을 High로, 7번 핀을 Low로
    리포트 | 15페이지 | 1,000원 | 등록일 2010.10.19
  • 디지털시계 설계와 분석
    “시”는 1~11까지 올라가며 12시가 되는 순간 7490 왼쪽의 9번 8번 단자와 오른쪽 7490의 12번 단자가 두 개의 7490ic의 리셋과 연결이 되어 실제 7490 두 개의 ... 상태는 “00”이 되는데 7490의 모든 신호가 “00”일 때는 병렬 다이오드 5개를 통과하는 신호가 하나도 없기 때문에 7404(NOT)을 통과하는 신호는 “H"가 나오게 된다.이 ... 12”시가 표시된다.오른쪽 7447의 4번 단자는 신호가 “0”일 때는 보이지 않고 “1”일 때만 보이게 된다.이것은 10시가 되기 전에는 표시가 안 나타나게 된다는 말이다.그리고 7490
    리포트 | 8페이지 | 2,000원 | 등록일 2009.12.05 | 수정일 2022.12.12
  • 실험10. D/A & A/D converter(DAC & ADC) 예비
    실험 준비물직류전원 : ±15V (2개), +5V (1개), 전압계오실로스코프, 파형발생기IC : 7490 (1개), 7404 (1개), 7405 (1개)OP amp(741) (2개
    리포트 | 9페이지 | 1,000원 | 등록일 2012.12.05
  • 전시회 관람자에게 팜플릿을 주기 전에 시스템에 팜플릿을 통과시키면 전시회 관람자의 수가 7-segment에 출력되는 시스템
    언제나 LED가 점등 되어 있을 수 있도록 설계하였다.- Red LED는 팜플릿이 통과한 수가 9이상 되면 동작하여 1초단위로 9초까지 시간이 지났을 때 점등 되어야 하기 때문에 7490의 ... QD와 Green LED를 점등시키는 OR-Gate의 출력부를 논리게이트인 OR-Gate로 연결하여 그 출력을 NE-555의 출력인 3번 핀과 AND-Gate로 연결하여 그 출력을 7490의로 ... LED segment의 전류가 20mA 이하가 되도록 하는 저항값을 설정한다.4-2-4 펄스 발생부- 555 timer IC를 사용해서 1Hz 펄스를 발 생하는 회로를 구성한다.의
    리포트 | 24페이지 | 1,500원 | 등록일 2010.06.25
  • 4비트 덧셈기
    발생시켜 SN74LS90 IC의 입력에 보내진다.위의 7490 IC는 스위치의 조작에 의해 발생되는 펄스를 받아 10진 카운터 출력을 내어7483 IC의 B 입력으로 보내진다.7483 ... IC는 7486 IC가 보내온 출력 A와 7490이 보내온 출력 B를 가산하는 역할을 한다.가산하여 CARRY가 발생하면 14번 핀으로 보내져 생략되고 각 비트에서 각각 가산된∑4 ... ∑3∑2∑1값은 출력되어 7447 IC로 보내진다.( A4A3A2A1+ B4B3B2B1CARRY ∑4∑3∑2∑1 )7447 IC는 7483IC에서 가산된 값을 받아 FND에 숫자로
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.24
  • 논리회로 실험(인코더, Latch & Flip Flop논리회로),래치와 플립플롭, 만점 결과레포트
    7 segment Decoder / Driver 로써 한마디로 말하면 주어진 입력값을 7 Segment가 그 값에 맞추어 출력할 수 있도록 Driveing 할 수 있도록 해주는 IC인것이다 ... 이 펄스는 7490 BCD counter에 전해져서 7490이 BCD 코드로 0부터 9까지 (0000~1001) 카운팅하게 된다. ... 실험 2. 7 segment 표시기를 갖는 BCD 카운터위 실험은 7490이라는 Decade Counter를 이용하여 7 Segment를 구동시키는 것이다. 7490에 Input단에
    리포트 | 16페이지 | 2,000원 | 등록일 2008.02.28
  • [논리회로실험] decoder와 encoder (결과)
    실험 장비 및 부품1) 5V 전원2) 오실로스코프3) 저주파 발생기4) IC : 7404 2개, 7420 2개, 7442 1개, 7476 2개, 7490 1개, 7447 1개5) 저항 ... 입력한 NAND gate 7420의 핀 6번 출력파형7) 핀 1에 A’, 핀 2에 B를 입력한 NAND gate 7420의 핀 6번 출력파형3) 10진 디코더를 갖춘 BCD 카운터7490은 ... 십진 출력은 open-collector active-low이다.스위치 box와 power supply를 이용하거나 주파수 발생기를 사용하여 단일 펄스를 내보낼 수 있게 조작한다. 7490
    리포트 | 7페이지 | 1,500원 | 등록일 2009.03.20
  • [논리회로실험] decoder와 encoder (예비)
    실험 장비 및 부품1) 5V 전원2) 오실로스코프3) 저주파 발생기4) IC : 7404 2개, 7420 2개, 7442 1개, 7476 2개, 7490 1개, 7447 1개5) 저항 ... 입력한 NAND gate 7420의 핀 6번 출력파형7) 핀 1에 A’, 핀 2에 B를 입력한 NAND gate 7420의 핀 6번 출력파형3) 10진 디코더를 갖춘 BCD 카운터7490은 ... 십진 출력은 open-collector active-low이다.스위치 box와 power supply를 이용하거나 주파수 발생기를 사용하여 단일 펄스를 내보낼 수 있게 조작한다. 7490
    리포트 | 13페이지 | 1,500원 | 등록일 2009.03.20
  • 7세그먼트에 관한 보고서
    램프상태의 또 다른 조합은 또다른 가능한 카운트를 나타낼 수 있다.4. 74LS92, 74LS90, 7447 소자(1)74470~9까지 표시해주는 SEGMENT DECODER IC이다 ... 따라서 10진카운터(7490)와 사용해야 가장 적합하다.◆ 7447 세그먼트별 진리표aDCBAX00000000110010000110010010101001101011101000010010bDCBAX00000000100010000110010010101101101011101000010010cDCBAX00000000100010100110010000101001100011101000010010dDCBAX00000000110010000110010010101001100011111000010011eDCBAX00000000110010000111010010101101100011111000010011fDCBAX00000000110010100111010000101001100011111000010010gDCBAX00001000110010000110010000101001100011111000010010
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.24
  • N체분계수기와 10진계수기
    불법상태(사용치 않는 계수상태)에 대하여 학습한다.2) 준비 사항① CRO - 1대② 전원(+5V, 100mA) - 1대③ SWG(+5V,10㎑ 또는 50㎲의 단일펄스) - 1대④ IC ... /7490A는 TTL MSI 십진 계수기이다. 7490A를 아래와 같이 연결하면 1에서 999까지의 입력 펄스를 셀수 있는 능력이 있다. ... : 7472 × 4, 7490 - 각 1개⑤ 용량 : 0.01㎌ - 각 1개3) 관련 이론(1) 10진수의 2진수화(8421) 코드8421코드는 2진화 10진(BCD; binary-coded
    리포트 | 8페이지 | 2,500원 | 등록일 2009.07.11
  • 논리회로 실험 - 디코더, 인코더 결과보고서
    IC 7490은 저주파 발생기에서 입력이 들어오면 카운터하는 IC로써 카운터 한값은 BCD로 나오게 된다. ... Decoder & Encoder 결과보고서1번 실험. 2단 2진 카운터-측정 사진 첨부 -실험에 대한 고찰2단 2진 카운터는 IC7476과 NAND GATE IC인 7420으로 ... 또한 실험에서 핀2,3을 5V에 연결한 후 접지시키는데 7490의 데이터시트를 참조하여 살펴보면 6,7번핀 MS1,MS2가 모두 GND에 연결되어 L상태이고 MR1과 MR2는 모두
    리포트 | 12페이지 | 1,000원 | 등록일 2008.02.25
  • 논리회로실험 결과보고서
    이 홈을 중심으로 하여 74 series 의 IC 칩을 가로로 꽂는다. 칩의 위쪽이나 아래쪽에 위치한 4개의 홈들은 각각 세로 방향으로 연결되어 있다. ... /시계회로설계도.cct..FILE:논리회로 실험보고서(예비,결과) 다 있음/logiclabunit.ppt..PAGE:1Logic Lab Unit: ED-1000B(1)실험 소자 (IC ... 이 때, 하나의 스위치를 이용하여 처음에 7490을 CLEAR 상태, 즉, (0000)으로 만든 후. 이 스위치를 반대로 하면 클럭펄스가 들어올 때마다 카운트되게 만들고자 한다.
    리포트 | 14페이지 | 4,000원 | 등록일 2009.12.10
  • 디지털 도어록 프로젝트 보고서
    칩- 7448 (BCD to 7-Segment Decoders) 4개- 74174 (D Flip-Flop withCommon Clock) 3개- 7490 (Dacade and Binary ... 2.1 도어락이란2.2 도어락과 관련된 신문기사준비물3.1 남땜 기구3.2 7세그먼트3.3 각종 IC 칩3.4 기타 기구설계 및 구현 과정4. ... 우선 기본적인 도어락의 기능을 알고 그것에 새로운 아이디어를 추가하여 회로도를 구상하고 기판에 실제로 IC 칩들을 활용하여 제작해 본다.
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.24 | 수정일 2018.06.10
  • 논리회로실험- D/A CONVERTER, A/D CONVERTER 결과보고서
    .-> D/A converter IC 7490 10진 카운터의 출력 값이 0~9까지 총 10계단의 디지털 출력이 아날로그 신호와 같이 연속된 파형으로 나타난다.4) 저항 Rf를 2.7KΩ으로 ... 즉 7490의 A가 0이 되므로 A출력은 카운터를 할 수 없게 된다. ... 처음에 RESET을 잘못시켜주어서 7490칩이 제대로 COUNTER 동작하지 않아서 실험이 힘들었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.02.25
  • N체분계수기와 10진 계수기
    실험계기 및 부품▶ CRO- 1대▶ 전원 (DC +5 V, 100 ㎃)- 1대▶ SWG (+5 V, 10 ㎑ 또는 50 ㎲의 단일펄스)- 1대▶ IC : 7472 * 4, 7490-
    리포트 | 6페이지 | 1,500원 | 등록일 2010.05.23
  • 디지털 자물쇠 만들기( 가산기와 플립플랍 .ic 이용)
    IC 7490 은 10진 카운터로 0000 ~ 1001 까지 순차적으로 카운팅 된다.IC 7486 은 버퍼 회로의 역할을 담당한다. ... 회로설명IC 7400 과 LED 스위치는 클럭 발생을 담당한다. ... 서 론1.작품 제작 배경실험 시간을 통해 여러 가지 IC의 동작원리와 일렉트로닉 디바이스의 용도등을 학습했다.
    리포트 | 7페이지 | 3,000원 | 등록일 2008.12.08
  • 10진 카운터 (논리게이트, 디지털 IC의 종류와 특징, 7 Segment, 74LS90의 리셋 방법)
    Output from ÷2 SectionQB, QC, QD: Output from ÷2 SectionR0: Reset(Clear) InputsR9: Set(Preset 9) Inputs7490은 ... 디지털 IC의 종류와 특징 (TTL & C-MOS), 74LS90, 74LS47)1. TTL: Transistor & Transistor Logic2. L: Low Power3. ... C: C-MOS▲ 디지털 IC의 종류▲ TTL의 기본회로와 LS-TTL의 기본회로▲ C-MOS 게이트C-MOS는 Complementary Metal Oxide Semiconductor
    리포트 | 12페이지 | 2,000원 | 등록일 2008.11.28
  • 10진 카운터 설계 기초 전기전자 실험
    만약 숫자 1을 나타내기 위해서는 7490이 7447에 7번핀 에는‘1’이라는 신호를 보내고 나머지 1.2.6 번핀에는‘0’이라는 디지털 신호를 보낸다. 7447은 이 신호를 세그먼트로 ... 카운터 회로 측정한 결과● 측정 결과 및 분석발진 회로의 IC 인 NE555가 clock을 만들어 카운터 IC로 보낸다. ... 설계한 10진 카운터의 동작 원리- NE555 IC로 통하여 디지털 신호를 부여한다.- 카운터 IC인 HD74LS90, SN74LS47을 거쳐서 7-segment에 신호를 전달한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2007.06.22
  • 9.DAC & ADC[결과]
    실험결과(1) D/A converter- 위의 D/A converter회로에서 7490 IC 소자를 사용하는데 이 소자는 2진 카운터와 5진 카운터가 내장되어 있는 decode(복호화
    리포트 | 10페이지 | 2,000원 | 등록일 2011.07.05
  • [전자실험]N체분계수기와 10진계수기
    준비사항1) CRO -1대2) 전원(+5V, 100㎃) - 1대3) SWG(+5V, 10㎑ 또는 50㎲의 단일펄스) - 1대4) IC : 7472 ×4,7490 - 각 1개5) 용량 ... /7490A는 TTL MSI 십진 계수기이다. 7490A를 아래와 같이 연결하면 1에서 999까지의 입력 펄스를 셀수 있는 능력이 있다. ... ] 10진 계수기1번FF2번FF3번FF4번FF상태0000011111000010000100110001100101001010012345678900000[표] 10진 카운터 상태 진리표7490A54
    리포트 | 3페이지 | 2,000원 | 등록일 2005.10.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대