• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(235)
  • 리포트(231)
  • 시험자료(3)
  • ppt테마(1)

연관검색어

"stopwatch" 검색결과 101-120 / 235건

  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 분석화학실험 A+ 보고서 8. Kastle-Meyer test
    , clamp, stopwatch, round bottom flask 받침, spoid, needle6-2. ... Result1) sample의 색변화 관찰sample1234케찹핫소스물감피ethanol + 면봉무색무색무색무색+ sample붉은색(케찹 색)주황색(핫소스색)진한 분홍색(물감색)붉은색 ... 면봉에 ethanol, sample, KM reagent, H₂O₂를 묻혀 색 변화를 관찰하고 시간을 기록한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2017.07.10 | 수정일 2018.01.01
  • 일반화학실험-시계반응 A+레포트
    시약 및 기구: 50ml erlenmeyer flask, 100ml erlenmeyer flask, pipettet, pipette filler, thermometer, stopwatch ... 반응 속도식 구하기반응초기 농도(M)반응 속도(M/s)[I-][S₂O2-][S₂O₃2-]10.20M KI x 0.0100L ÷ 0.0250L=0.080M0.10M x 0.0100L ... x 0.0100L ÷ 0.0250L=0.040M0.005M x 0.005L ÷ 0.0250L=0.001M0.001M/36.48s=2.7x10^-530.20M x 0.0100L ÷
    리포트 | 5페이지 | 1,000원 | 등록일 2017.02.07
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... 외형으로 보면 큰 모습과 작은 모습의 7-segment가 있었는데, 큰 segment는 세로의 형태로 pin이 있지만 작은 모습의 segment는 가로의 형태로 pin이 있어서 segment의 ... ]와 Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형하는 [Segment Clock Counting Part]와 IC set를 지나온 신호들을 7-segment의
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... iscomponent debounce_sw isport( clk : in std_logic;reset : in std_logic;sw1_in : in std_logic;sw1_out ... : std_logic;signal sel : integer range 1 to 6;signal seg1, seg2, seg3 : std_logic_vector(6 downto 0);
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • 논리회로 설계-Stop watch설계
    Lab 2-2 논리회로설계 - 스톱워치 설계(1) 그림 3-1의 스톱워치부의 각 블록을 논리설계한다.(2) MyLogic을 이용하여 설계한 각 블록의 schematic을 완성한다.·
    리포트 | 3페이지 | 2,000원 | 등록일 2008.12.03
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    S);multiplexer2to1 m2(Y[1],A[1],B[1],S);multiplexer2to1 m3(Y[0],A[0],B[0],S);endmodule[시뮬레이션 & 스크린샷]1 ... 마지막으로는 D flipflop을 이용하여 shift register를 만든다. 기본소자 SR latch를 이용하여 마지막 shift regisA] ... 변수X,Y,C,S선정.input X,Y; // 입력을 하고자 하는 변수를 선정.output C,S; // 출력을 하고자 하는 변수를 선정.and U1(C,X,Y); // U1자리는
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 실험4)인터럽트 실습 5, 6
    #include #include unsigned char Time_STOP = 0;SIGNAL(SIG_INTERRUPT0);int main(){unsigned char LED_Data ... = 0x01;DDRD = 0xFE;DDRE = 0xFF;EICRA = 0x04;EICRB = 0x00;EIMSK = 0x01;EIFR = 0x01;sei();while(1){PORTE ... 것이다.인터럽트 서비스 루틴발생한 인터럽트의 처리를 위해 미리 정의된 프로그램이다.인터럽트 벡터인터럽트 서비스 루틴이 실행되는 시작 주소이다.5) 소스코드 분석소스코드소스코드 해석#include
    리포트 | 8페이지 | 1,500원 | 등록일 2015.11.12
  • 점도계 조사 레포트 (영어레포트)
    column and the last tube sequentiallyClick the start switch of the stopwatch when the solution reaches ... Km and a are constants specific to the polymer and solvent pair that is used. ... did not affect seriously.There was a strange point that I can not draw graph and estimate the intrinsic
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.29
  • 스톱워치(stop watch) 설계 프로젝트
    clear 입력이 별도로 존재하여 reset스위치를 통하여 5개의 7490 소자가 모두 동시에 clear되는 구조를 가진다.D LatchEnableD LatchD Latch0.1sec7segment1sec7segment10sec7segment1min7segment10min7segmentD ... 초기상태에서 start/stop 버튼을 한번 누르면 시간이 흐름.2) 1번 상태에서 start/stop 버튼을 다시 누르면 경과하던 시간이 멈춤.3) 2번 상태에서 reset/lap ... 설계 제안Clockstart/ stopreset/ lapLatchMUX7segment7segmentVccsecond/ 10secondminute1) FPGA 내부에서 클럭을 발생시킨
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • [일반생물학실험]Measurement of Microbiological Activity and Growth - Oxygen Uptake Rate
    실험 기구1) Timer(stopwatch)2) DO meter with submersible stirrer and temperature probe3) 300㎖ BOD bottle4 ... sec ×{3600sec} over {1hr} ÷ 4053.333 ㎎/l = 0.1292hr ^{-1}6. 토의 사항가. ... sec ×{3600sec} over {1hr} ÷ 4053.333 ㎎/l = 0.3923hr ^{-1}3) SUR = 0.3489 ㎎/l?
    리포트 | 8페이지 | 3,000원 | 등록일 2016.08.15 | 수정일 2020.08.02
  • R-C 시상수측정
    (이때는 stopwatch를 이용하는 것이 편리할 것이다.) 방전과정에서 측정한t _{d}를 T라 할 때의 수식 (2)와 (3)을 과정 ⑦에서 그린 그래프와 비교하라.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.10.13
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    그리고 start/stop이 1의 값을 가질 때(카운팅 진행중) 7490 clear쪽의 AND gate에서는 start/stop 버튼에 의해 0의 값이 들어가므로 카운팅이 되고 있을 ... 숫자가 7-segment에 표시된다.③ lap 기능 : 시간이 경과되는 중에 start 버튼을 한 번 더 누르게 되면 누를 때의 시간이 7-segment에 표시되는 상태를 유지하고, ... 7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)※
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • Go Back N ARQ
    'acking' frames will be move to here after 1 sec int pnum=0; //seqnum int t=0; //stopwatch int byte=0 ... #include #include #include #define SIZE 500 //size of frame buffer float p;//frame loss/corruption probability ... sending[8]; //frames which are being sent struct frm send_arr[8]; //frames which are being send_arr.
    리포트 | 4,000원 | 등록일 2014.06.10 | 수정일 2014.06.20
  • 카이스트 전자공학실험2 실험3 Digital Design Using Microcontroller (1st week) 결과보고서
    Demonstration1) Problem(A) 7-SegmentImplement the stopwatch. ... What are the factors that disturb the accuracy of the stopwatch? ... Consideration1) How precise do you think the implemented stopwatch is?
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • [전기전자기초실험]8장 - 조합 회로 설계 실험 [예비&결과]
    이 Delay 값 중 제일 큰 값 보다 주기가 커야 제대로 동작한다고 할 수 있다. 즉 최대 Delay 의 역수인 값이 최대 동작 주파수가 되고 그 주파수보다 작을 때만이 이 디코더가 제대로 작동할 수 있는 것이다. 이 delay 중 제일 큰 값은 47.9 (ns) 가..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.08
  • BOT-2 요약
    시행-Complete Form과, Short Form 중 선택-소요시간 : Short Form은 10- 15분, Complete Form은 40-60분-도구: 제공되는 테스트 도구 외에도, stopwatch ... 대상4세 ~ 21세3.목적- motor skills 에서 gross motor와 fine motor를 구별하여 평가하기 위함4.
    리포트 | 2페이지 | 3,000원 | 등록일 2013.05.30 | 수정일 2016.10.01
  • 응급실 실습중학습/응급실과제
    혈액이 자연스럽게 나오기 시작하면 시간측정기구(스톱워치, stopwatch)를 이요하여 30초마다 여과지를 출혈 부위에 가볍게 접촉시킨다. ... 백에 O2 flow를 접속시켜 고농도산소흡입도 가능하다.(3) O2 supplier산소공급기라고도 한다. ... 응급처치 및 간호1) 우선순위에 따른 응급치료 및 간호사항2) Ambubagging3) O2 supplier4) Emergency kit5) EKG monitor6) Defibrillator7
    리포트 | 12페이지 | 2,500원 | 등록일 2016.09.13
  • VHDL로 구현한 디지털시계 (EP1C6Q240C8)
    리포트 | 2,000원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 단진자를 이용한 중력가속도의 측정
    실험 기구 및 장치진자, 자와 각도기, 스탠드, 실 ,digital stopwatch, 가위4. ... over {2 pi } = sqrt {{L} over {g}} `##( {T} over {2 pi } ) ^{2} =` {L} over {g} ```````````````````` ... 따라서 진자가 한번 진동을 하는데 걸리는 시간인 주기 T는T=2 pi sqrt {{L} over {g}} (4)thetalmg sin thetamg cos thetahxmgm ,h_
    리포트 | 4페이지 | 2,500원 | 등록일 2013.12.04 | 수정일 2020.12.31
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대