• 통큰쿠폰이벤트-통합
  • 통합검색(207)
  • 리포트(177)
  • 시험자료(20)
  • 자기소개서(9)
  • 방송통신대(1)

"uml 다이어그램" 검색결과 101-120 / 207건

  • 성적 조회 시스템 구축
    UML로 설계데이터베이스설계서버로직구현화면을 제작비즈니스 프로세스의 이해유즈케이스 다이어그램UML로 설계데이터베이스설계서버로직구현화면을 제작비즈니스 프로세스의 이해액티비티 다이어그램UML로 ... 설계데이터베이스설계서버로직구현화면을 제작비즈니스 프로세스의 이해시퀀스 다이어그램UML로 설계데이터베이스설계서버로직구현화면을 제작비즈니스 프로세스의 이해메인화면 / DB화면메인화면DB화면UML로 ... UML로 설계 3. 화면을 제작 4. 데이터베이스설계 5.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.01.22
  • 객체지향패러다임
    (유스케이스 다이어그램은 다음 장에서도 계속됩니다.)4) 시퀀스 다이어그램도서 대출의 과정은 우선 사용자가 책의 대출을 요청으로 시작합니다.멤버 클래스에서는 라이브러리 시스템 클래스를 ... 김수현 학우가 6가지 다이어그램(클래스, 유스케이스, 시퀀스, 통신, 상태, 활동)작업을 맡았으며 박태양 학우는 제안서와 최종보고서 작업을 맡았습니다. ... 구체적으로 말씀드리자면 김수현 학우의 다이어그램은 Paradigm 도구를 사용하였으며, 이경용 학우의 PPT는 Powerpoint2007을 이용하였습니다.
    리포트 | 15페이지 | 2,500원 | 등록일 2012.04.17
  • UML 잔돈교환기 설계
    메인보드는 정상 신호를 받은후 교환기기 시스템의 동작을 차단하여 버튼을 끈다.(2) 시퀀스 다이어그램(3) 통신다이어그램▶ 위의 시퀀스다이어그램과 통신 다이어그램은 보는 바와 같이 ... 클래스 다이어그램 만들기(1) 명세서에서 클래스 추출하기? ... 순차다이어그램(1) 시퀀스 다이어그램 시나리오① 사용자는 잔돈교환기에 돈을 투입한다.② 교환기시스템은 센서로부터 식별을 받는다.③ 센서는 비정상적인 지폐일 경우 밖으로 내보내라는 신호를
    리포트 | 20페이지 | 2,500원 | 등록일 2010.06.03
  • [소프트웨어공학] 4~8장요약정리
    예를들어 보고서를 이루는 장, 절은 모두 같은 요소들이다.UML다이어그램은 이러한 차이를 다이아몬드의 색으로 구별한다. ... 다른점은 UML 다이어그램 위에 관계는 나타내는 표시가 없어도 된다는 것이다. 구성관계는 대부분 has, comprise, consists of의 의미로 파악되기 때문이다. ... 한정된 개수의 사용 사례들이 공통적으로 가지는 기능을 사용사례로 나타낼 때는 포함관계5.4.4 클래스 다이어그램클래스다이어그램은 시스템의 구조를 나타낼 때 사용한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.06.12
  • 시스템 분석및 설계 UML
    UML의 이해3. CBD 방법론4. 결론5. 참고문헌0. 서론수 많은 시스템 분석 설계를 위한 도구와 기법중에 UML과 CBD에 대해서 소개 하려고 합니다. ... UML은 모델링 언어로서 방법론의 일부이다. ... UML의 저자들은 사용사례 중심, 아키텍처 중심, 점진 반복적인 개발 공정을 권장한다.UML은 객체지향 공동체의 일치된 의견을 핵심 모델링 개념에 통합한 모델링 언어이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2009.03.26
  • SOFTWARE Architecture
    이 그림은 주문 처리 시스템을 나타내는 구조적 엘리먼트들을 포함하고 있는 UML 클래스 다이어그램을 보여주고 있다. ... 그림 2는 UML 시퀀스 다이어그램으로서 많은 인터랙션들을 보여주고 있다. 시스템은 주문 처리 시스템에서 주문의 생성을 지원할 수 있다. 여기에서 다섯 개의 인터랙션이 보인다. ... 시퀀스 다이어그램그림 2는 그림 1의 연장선상에 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2010.06.25
  • 소프트웨어 공학
    엔지니어링 처리과정----5p소프트웨어 Process----6p소프트웨어 Process의 개요프로세스 모델폭포수 모델나선형 모델유니파이드 모델애자일 모델반복의 전략프로토 타입점진적 방법UML ... ----14pUML의 개요UML과 소프트웨어 Process 방법론의 차이소프트웨어 엔지니어링 도구----15p소프트웨어 엔지니어링의 요구사항----19p이해당사자수발주 프로세스의 예기능적 ... 타입시스템 전반적인 설명과 같이 뷰들을 뛰어 넘는 전반적인 설명.이론적 근거 및 제약 사항테스터 및 인티그레이터구성 요소의 인터페이스 명세.테스트하거나 통합되어야 할 모듈의 컨텍스트 다이어그램
    리포트 | 51페이지 | 7,000원 | 등록일 2012.08.25
  • 객체지향 소프트웨어공학
    다이어그램으로 시스템의 정적인 구조를 나타냄패키지 다이어그램관련된 클래스를 패키지로 그루핑 하여 의존도를 낮추기 위하여 사용UML 다이어그램다이어그램 이름개략적인 모양설 명순차(sequence ... 외부 이벤트에 대하여 민감하게 상태를 변화시키는 객체를 모델링UML 다이어그램다이어그램 이름개략적인 모양설 명액티비티(activity) 다이어그램액티비티 냄 시스템이 수행하는 계산 ... 객체지향 소프트웨어공학UML4.1 UML이란?
    리포트 | 62페이지 | 2,000원 | 등록일 2009.04.26
  • UML입문 연습문제
    [시퀀스 다이어그램]2. 다음 클래스 다이어그램을 보고 시퀀스 다이어그램을 작성하시오. ... 다음 클래스 다이어그램을 보고 시퀀스 다이어그램을 작성하시오. ... [시퀀스 다이어그램]3.
    리포트 | 3페이지 | 1,500원 | 등록일 2009.12.02
  • 다음 커뮤니케이션즈 서류 합격 자기소개서
    2006년 5월인원 : 6명내용 : 실제 엘리베이터가 동작하는 방식을 분석하여 요구 분석서 부터 UML을 이용한 각종 다이어그램을 그리면서 설계, 최종 구현담당 : Domain 다이어그램 ... 이용한 각종 다이어그램을 그리면서 설계, 최종 구현담당 : Domain 다이어그램, Visual Studio .NET 2005를 이용하여 구현[엘리베이터 시뮬레이션 시스템]기간 : ... Studio .NET 2003을 이용, DB를 연동하여 개발[세탁기 시뮬레이션 시스템]기간 : 2006년 4월인원 : 6명내용 : 실제 세탁기가 동작하는 방식을 분석하여 요구 분석서 부터 UML
    자기소개서 | 3페이지 | 3,000원 | 등록일 2010.11.23
  • UML입문 연습문제
    이를 다이어그램으로 작성하면 다음과 같은 다이어그램을 작성할 수 있다.[전화 통화 활동 다이어그램]2. ... (stopPhone)위의 다이어그램은 전화 통화에 대한 통신 다이어그램으로 앞장에서 예제로 소개한 요구 명세서를 바탕으로 활동 다이어그램을 작성하여야 한다. ... 이 명세서에 따라 설계한 통신 다이어그램을 보고 활동 다이어그램을 설계하시오.전화 통화 요구명세서전화통화는 발신자(celler)와 수신자(receiver)가 상호 신호를 교환하며 연락하는
    리포트 | 4페이지 | 1,500원 | 등록일 2009.12.02
  • uml조사
    이 단계에서는 다이어그램에서 특정언어의 구문으로 옮겨 적는 과정 그리고 컴파일하고 링킹하고 다시 디버깅하는 작업이 포함되어있다. ... collaboration)등을state diagram, collaboration diagram, sequence diagram, activity diagram으로 표현되어진다..모든 다이어그램이 ... 충분히 거치지 않고 바로 코딩을 할 경우 분석하고 설계하는 단계를 다시 하는 경우가 빈번하며 이는 오히려 프로젝트를 망치거나 장기화 시킬 우려가 대단히 크다.구현단계의 결과물로 어떤 다이어그램
    리포트 | 8페이지 | 1,000원 | 등록일 2004.10.14
  • 시스템 분석 설계 요약
    -정보를 수집 한 후, 모델을 만들-시스템이 구축되는 몇 가지 측면의 모델 표현*모델의 종류텍스트 모델 뭔가 설명 아래 서면그래픽 모델 다이어그램, 도식수학적 모델 - 수식, 통계, ... 알고리즘*통합 모델링 언어 (UML)정보 시스템에 사용되는 표준 그래픽 모델링 기호 / 용어*모델링의 이유-모델링 과정에서 학습-추출하여 복잡성을 감소-모든 세부 정보를 기억-다른 ... 및 모델링은 요구 사항을 탐험하고 문서화하는 데 사용됩니다-모델은 시스템의 일부 측면을 대표하고, 텍스트 그래픽, 그리고 수학적 모델을 포함 할 수 있습니다-통합 모델링 언어 (UML
    시험자료 | 2페이지 | 1,500원 | 등록일 2013.09.29 | 수정일 2023.07.14
  • UML이용한 커피 전문점의 판매관리 시스템
    1. 개 요 21C는 정보화 사회로 모든 영역에 걸쳐 정보화의 중요성이 대두되고 있다. 특히 교육적인 측면에서 볼 때 컴퓨터와 인터넷을 활용한 과목이 점차 증가하고 있으며, 기업에서도 신규 채용시 이에 대한 지식을 기본으로 하여 채용한다. 본 프로젝트 팀에서는 금번 ..
    리포트 | 20페이지 | 2,500원 | 등록일 2009.09.30
  • [UML] UML 정리
    다이어그램을 정의한다. ... 상호작용 다이어그램 (Interaction Diagram)상호작용 다이어그램은어그램은 같은 내용을 다르게 표현하는 기법이다. ... 활동 다이어그램 (Activity Diagram)활동 다이어그램은 작업흐름과 연계되어 병행 처리가 많은 행동양식을 기술하기에 특히 유용한 여러 기법들을 조합한 것이다.그림 7.1은
    리포트 | 10페이지 | 2,000원 | 등록일 2003.07.28
  • SE08-MM-D-002_변경관리
    유즈케이스 다이어그램 수정- 자본결정 다이어그램 수정 : STAR-UML 이용품질관리 기록서(SE08-MM-D-001)변경 최종 작업 / 승인버젼업유무X일 자2008년 4월 17일문서번호SE08 ... 변경된 액터 / 유즈케이스 정의를 바탕으로,유즈케이스 다이어그램을 재정의 : STAR UML 이용품질관리케이스 리포트의 내용을 재정의품질관리 기록서(SE08-MM-D-001)변경 최종 ... 다어어그램소프트웨어 및기술아키텍쳐 정의서유즈케이스 다이어그램클래스 다이어그램시퀀스 다이어그램액티비티 다이어그램요구분석 결과발표(PPT)데이터베이스 설계화면설계서컴포넌트 정의컴포넌트
    리포트 | 9페이지 | 1,000원 | 등록일 2011.04.07
  • [객체지향모델링] 시스템 분석 - Class Diagram의 Relationship
    이것을 UML에서는 실체화(realization)이라 한다. ... 이것을 객체지향 개념에서는 상속이라 하고, UML에서는 일반화(generalization)라 한다. ... TypeWriter Class의 행동을 실체화한 Keyboard Class를 클래스 다이어그램으로 나타내면 아래와 같다.
    리포트 | 4페이지 | 1,000원 | 등록일 2004.06.03
  • UML 객체지향 설계 및 사례
    시스템 개발과 UML요구사항수집 분석 설계 개발 배치작업 결과물은 해당업무 과정에서의 단계와 결정위치를 나타낸 활동 다이어그램이다.클래스 다이어그램, 쓰임새 다이어그램, 상태 다이어그램 ... UML의 소개와 목적 UML의 개요 UML 표기와 의미 객체지향관점의 UML UML의 구성요소 Diagram의 종류 시스템 개발과 UML1. 모델링(Modeling)이란? ... ,시퀀스 다이어그램, 협력 다이어그램이 만들어진다.객체 다이어그램,컴포넌트 다이어그램, 배치 다이어그램이 만들어 진다.각각의 다이어그램을 바탕으로 개발이 진행되어 작업 결과가 모든
    리포트 | 43페이지 | 1,500원 | 등록일 2008.07.07
  • 액티비티 다이어그램
    주문완료(화면)액티비티 다이어그램.hwp ... R/E/P/O/R/T객체지향설계 : 액티비티 다이어그램 작성날 짜 :과 목 :교 수 :학 과 :학 번 :이 름 :객/체/지/향/설/계1. 로그인(회원)2. 회원확인(서버)3.
    리포트 | 3페이지 | 2,000원 | 등록일 2009.08.14
  • 객체 다이어그램
    시나리오 명: 회원이 쇼핑몰을 통해서 상품을 구입하기레포트3-객체다이어그램2. ... R/E/P/O/R/T객체지향설계 : 객체 다이어그램 작성날 짜 :과 목 :교 수 :학 과 :학 번 :이 름 :객/체/지/향/설/계1.
    리포트 | 5페이지 | 2,000원 | 등록일 2009.08.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대