• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,733)
  • 리포트(1,452)
  • 자기소개서(146)
  • 시험자료(82)
  • 서식(19)
  • 방송통신대(18)
  • 논문(10)
  • 노하우(4)
  • ppt테마(2)

"레벨 테스트지" 검색결과 121-140 / 1,733건

  • [방송통신대학교]정보통신망_중간과제물(30점 만점)
    안전, 생명과 직결되는 인공지능 역시 작은 실수도 용납될 수 없기 때문에 학습에 굉장히 많은 사례와 시간이 필요할 것이고, 데이터를 지속적으로 철저하게 분석하여 빈틈없는 테스트를 시행하여야 ... 구간 불필요전 구간운전자 없는완전 자율주행2021년 말 한국교통안전공단과 한국건설기술연구원, 국내 기업들이 협력해 일반 시내 도로에서 주행 중 각종 돌발 상황 대처 능력을 포함한 레벨 ... of Automotive Engineers International)에서 기술 수준에 따라 자율주행을 6단계로 분류하였는데, 아래 표와 같다.레벨명칭운전 주시자동화 구간예0무 자율주행
    방송통신대 | 6페이지 | 3,000원 | 등록일 2023.04.08
  • 한양대학교 공학도를위한창의적프로그래밍 기말고사 치팅페이퍼
    =’Out’], Y=df.loc[:, ‘Out’]- X_train,X_test,Y_train,Y_test=train_test_split(X,Y,test_size=0.23. ... df.drop( drop, axis=1 )■ 고유 데이터 종류와 개수df[‘ ’].value_counts() + .sort_values( ).plot.bar()1) 중분류가 ‘유사’인 ... (‘class’).agg( [‘min’,‘max’] ).agg( { ‘fare’: [‘min’,‘max’], ‘age’: ‘mean’ } )■ 멀티 인덱스 : 행index를 여러 레벨
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.01.20
  • 기초전자회로실험 - D래치및 D플립플롭 예비레포트
    이제 충분한 셋업 시간이 없으므로 출력의 DC레벨이 바뀌었을 것이다. ... 이 회로는, 직렬 데이터(한번에 하나씩 도착하는 비트들)를 받아 이전 결과와 XOR연산을 수행하는 패리티 테스트 회로이다. 데이터는 클럭과 동기되어 있다. ... LED는 논리상태를 알아보기위한 것이며, LED의 출력부분이 LOW인 경우에 LED는 ON된다. PRE와 CLR에 비활성레벨인 HIGH를 설정하라.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • 학원 학부모 설명회 1
    일정 ① 2 월 18 일 월요일 ~ 24 일 일요일 : 오후 1 시 ~ 10 시 레벨테스트 ② 2 월 25 일 월요일 : 수업 시작 ③ 온라인 + 교재비 무료 : 초등 2 월 28 ... TEST (TOEFL NEAT) 와 높은 주제 일치율 Theme Topic 교재보기 Zoology Snails and Slugs Jellyfish Crocs and Gators Salmon ... Reading Score 300 점 기준임5.
    리포트 | 20페이지 | 2,500원 | 등록일 2020.03.06 | 수정일 2020.03.09
  • 최대 힙과 최소 힙의 정의 / 힙의 삽입, 삭제 연산 방법 / 힙을 응용한 허프만 코드의 특징과 생성 방법
    학원에 처음 입학하면 먼저 레벨 테스트를 응시하게 된다. 테스트 결과에 따라 실력 수준에 맞게 초급반, 중급반, 상급반으로의 배치가 결정된다. ... 또한 최대 힙과 최소 힙의 시간 복잡도는 O(log n)인데 일반적으로 힙은 완전 트리 형태이기 때문에 높이가 log n이 되며, 각 노드에 대한 연산 시간이 O(1)이기 때문이다.2 ... 이는 최대 힙을 이용하여 가변 길이 코드로 표현한 것이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.07
  • D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    between latch and flip-flop-study some application circuits for latch and flip-flop(2) J-k flip-flop-test ... 플립플롭과 래치 역시 게이트로 구성 되지만 조합논리회로와 달리 feedback이 존재한다.래치는 레벨 트리거에 의해서 동작한다. output은 clock이 켜져 있을 때 변하며 하나의 ... -d flip-flopClock enable D 플립플롭은 클럭 입력에 반응하여 출력의 상태를 바꾸는 기억 소자이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 일면적 광고 메세지와 이면적 광고 메세지의 설득 효과에 대해 논하시오.
    감정 및 인지 테스트, 소셜 미디어 감정 분석, 브랜드 인지도 조사 등을 통해 감성적인 연결과 브랜드 인식을 평가합니다.예를 들어, 소비자들에게 특별한 감정이나 느낌을 일으키는지에 ... 앞으로의 연구와 광고 캠페인에서는 두 유형의 메시지를 조화롭게 사용하여 소비자에게 더 나은 광고 경험을 제공할 수 있을 것으로 기대됩니다.소비자 특성 고려4.1 인지적 레벨일면적 광고 ... 대한 테스트를 수행하여 이면적 광고의 효과를 파악할 수 있습니다.문화적 차원광고 메시지의 효과는 문화적 차원에서도 영향을 받을 수 있습니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2023.10.18 | 수정일 2023.11.18
  • 전전설2 3주차 실험 결과레포트
    상위레벨 설계도구의 사용으로 인해 설계 생산성이 향상되며 설계시간의 단축에 따른 설계비용이 감소한다는 장점도 있다. ... 테스트벤치 모듈에서 시뮬레이션을 실행시켜 잘 되는지 확인한다.5. Pin 할당은 실험 가와 같다. ... 테스트벤치 모듈에서 시뮬레이션을 실행시켜 잘 되는지 확인한다.5. Pin 할당은 실험 가와 같다.
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 정보처리기사 2과목-소프트웨어 개발
    레벨1. ... 테스트 오라클(Test Oracle): 테스트 결과가 참인지 거짓인지를 판단하기 위해서 사전에 정의된 참값을 입력하여 비교하는 기법1) 참(True) 오라클 : 모든 입력값에 대하여 ... 프로시저의 디버깅을 위해 SQL Plus라는 도구 이용단위 테스트 도구1.
    시험자료 | 9페이지 | 2,000원 | 등록일 2021.10.19
  • 포항공과대학교(포스텍) POSTECH 인공지능대학원 인공지능학과 연구계획서
    연구계획저는 포항공과대학교 대학원 인공지능대학원 랩에 진학한 다음에 임베디드 시스템 내장형 소프트웨어 오류에 의한 오동작 감지용 실시간 전류/전압-레벨 패턴모니터링 및 비교시스템 연구 ... 테스트 시간 적응을 위한 전문가의 입력 의존적 온라인 혼합 연구 등을 하고 싶습니다.저는 또한 이기종 GPU에 대한 빠른 자동 분산 훈련 연구, 분산 소프트웨어 임베디드시스템의 취약점 ... 위한 CAN/CAN-FD 통신 구조 연구, 보편적 근사를 위한 최소 너비 연구, 신뢰할 수 없는 센서 데이터 하에서 로봇 조작을 위한 심층 궤도 예측 연구, BECoTTA: 지속적인
    자기소개서 | 1페이지 | 3,800원 | 등록일 2024.06.04
  • 아동간호학 A+ 장애아동 관련 독서감상문 (한밤중에 개에게 일어난 의문의 사건)
    수학과 과학을 좋아하며 학교의 수학 고급레벨 테스트에 통과하기도 했다. ... 수학 A레벨 시험에 통과했고 웰링턴의 죽음을 주제로 쓰던 소설 또한 완성시킨다. 이에 대해 크리스토퍼는 이렇게 이야기 한다.나는 내가 충분히 해낼 수 있다는 걸 알고 있다. ... 그 말은 내가 뭐든지 할 수 있다는 뜻이다.이 구절이 결국에는 이 소설을 관통시키는 주제라고 생각한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.01.22 | 수정일 2021.05.05
  • 핀란드의 평등 교육, 단 한명도 포기하지 않는다. 라는 다큐멘터리 영상을 보고
    통합학급교육을 실시하고 있기 때문에 다양한 레벨의 학생에게 평등하게 교육을 해야 하기 때문인데, 이는 교사의 전문성이 높다는 것을 의미한다. ... 또한 또래와 비교하기 위한 시험이나 테스트도 없으며, 선별작업 역시 전혀 하지 않는다고 했다. ... 이는 학생이 스스로 배움을 익히고 이해하고 터득하도록 하는 교육방법에 요인이 있다고 본다. 테스트나 순위를 매기는 방법으로는 학생들의 동기를 유발시킬 수 없다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.11.13
  • 디젤 크랭크 실 배출의 특성 및 저감 레포트
    연구결과트럭은 크랭크 실이 열린 상태에서 UDDS를 통해 처음 테스트09 % 순이었다. ... 이 실험실은 특정 속도 및 하중 프로파일을 가진 테스트 사이클을 통해 차량을 작동시키고 차량 배출을 측정하도록 설계되었다. ... 참고문헌(6)에서 공회전 동안 동력계와 여러이스 및 배기 파이프 NO x, CO, HC 및 총 PM 레벨은 UDDS (Urban Dynamometer Driving Schedule)
    리포트 | 11페이지 | 2,000원 | 등록일 2021.01.31
  • [다큐 감상] 테슬라 베타버전의 질주
    유지 기능이 탑재된 레벨 3 자율차의 출시 판매가 가능해졌다. ... 테슬라는 자동차를 고객이 테스트해서 업그레이드 해 가는 소프트웨어 제품으로 생각하고 있다.국토부는 결함정보를 분석중이다. ... 테스트 버전이 버젓이 판매되고 있다.자율주행 5단계는 다음과 같다.. 1단계: 조향 또는 가감속 지원. 2단계: 조향, 가감속 모두 지원. 3단계: 자동 차선 변경. 4단계: 조건부
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.22
  • 투석기 FMC 메뉴얼
    정맥압 모니터링 장치(혈액감지기 blood 펌프에 라인을 장착하고 T1 Test를 진행한다.5. T1 test 가 완료 되면 메시지: T1 Test가 완료되었습니다 ? ... 헤파린 주사기를 사용하면 장착한다 (헤파린을 사용하지 않을 시 clamp를 잠근채로 둔다.)7.정맥 기포 포획기를 레벨 감지기 (7a) 에 끼운다.8. ... 메시지 : 계속 하기 위해 도어를 엽니다.도어를 연다.8. 메시지 : Blood line을 완전히 제거하고 도어를 닫으세요.9.
    리포트 | 21페이지 | 2,500원 | 등록일 2023.06.17
  • CISSP 핵심 내용 요약정리(시험 대비 키워드 위주)
    : 보안정책, 보안인식교육, Pen test(침투테스트), BCP/DRP, 위험평가◎ 기밀성 - Sensitive, Stringent, 위협 : 스니핑 ↔ 대응 : 스위치, VPN( ... , 보안평가, 침투 테스트◎ 계층별 책임- End user(사용자, 일반직원) : 계정관리, 정책준수- Excutive Management(경영진) : 궁극적인 책임, “Ultimate ... ◎ 예방통제 : 정책/절차(표준), 방화벽, 보안인식 교육, inhibit◎ 탐지통제 : 임계치/클리핑 레벨, 로그, 보안위반 리포트. identify, CCTV◎ 교정통제 : BCP
    시험자료 | 30페이지 | 8,000원 | 등록일 2022.01.30 | 수정일 2022.02.10
  • ITRS 2005 요약
    실패의 원인을 찾는 것은 매우 어렵고 real-time 테스트는 실현 가능하지 않다.6. ... 따라서 시스템 설계자는 시스템 레벨 성능을 최적화하는 데 있어 가공되지 않은 트랜지스터 속도 대신 칩에 더 많은 코어를 넣을 수 있는 통합 레벨을 강조하는 경향이 있다. ... 기술 개발 및 설계 시 신뢰성을 사전에 보장할 수 있도록 Reliability Tools의 설계가 필요하고, Reliability Test과 같은 결함 선별은 발전된 고출력 발전 기술에서
    리포트 | 22페이지 | 3,500원 | 등록일 2020.12.12
  • 서비스로봇마케팅전략
    선정한 뒤 스크럼프로젝트 방법론을 활용하여 테스트엔지니어는 개발자의 또는 프로젝트 진행자의 단위테스트 결과에 의해 다음레벨 테스트를 수행하고 스크럼 마스터는 작업결과와 테스트 결과를 ... 즉 요구사항 범위 내에서 구체적이며 상세화된 테스트스크립트를 이용하여 커뮤니케이션을 원활하게 한다.[그림 20.] ... 제품책임자 역시 테스트엔지니어가 사용자 스토리로부터 도출해낸 테스트케이스를 검토하고 보완하며, 수행결과를 고유하게 된다.
    리포트 | 27페이지 | 6,500원 | 등록일 2020.04.19 | 수정일 2023.11.02
  • 발로뛰는 스타트업 14주차 강의노트 2021-1학기 할인자료
    제작]"메이크올"⊙시제품, MVP 제작 사이트와 툴⊙메이커 관련 사업 지원과 다양한 행사 진행⊙장비 또는 장비를 사용할 수 있는 공간 제공⊙지역별로 시제품 제작 교육 제공⊙닞은 레벨의 ... 가능⊙기능 구현을 위해 [오른쪽 버튼]을 누른 후 [링크 연결하기] 클릭⊙링크 연결하기 창에서 [외부 URL] 또는 [페이지] 탭을 눌러 접속 가능⊙작성한 페이지를 저장한 후 [웹 테스트 ... ] 버튼을 눌러 테스트 진행⊙Oven을 통해서 빠르게 앱 화면 UI 또는 기능 구현 가능⊙MVP 작성을 통해 기능의 필요성 여부, 기술 구현 가능성, 요구사항 등 파악 가능⊙예상 산출물에
    리포트 | 5페이지 | 2,000원 (10%↓) 1800원 | 등록일 2022.06.13
  • 디집적, 디지털집적회로설계 실습과제 7주차 인하대
    이런 메시지여서 과연 이것이 input의 문제인가 싶었으나 결과적으로 여러가지 요소들을 하나씩 바꿔가면서 테스트해본 결과 문제는 input 신호를 작성할 때 발생한 문제였다. ... 마찬가지로 아래의 트랜지스터 레벨 cmos 회로를 보고 작성했다.출력이 아닌 노드는 w로 선언하고 inp지만 좀더 깔끔하게 작성하기 위해 half adder를 작성 후 이것으로 1bit ... NAND gate도 마찬가지로 이전과제에서 이미 구현을 했고 트랜지스터 레벨 cmos 회로를 보고 작성했다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.31
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대