• 통큰쿠폰이벤트-통합
  • 통합검색(388)
  • 리포트(377)
  • 논문(5)
  • 자기소개서(2)
  • 시험자료(2)
  • 서식(1)
  • 방송통신대(1)

"분주 카운터" 검색결과 121-140 / 388건

  • 메카트로닉스 실습 보고서-99 counter to 7-segments using 7447, 7490
    하지만 출력이 0110일때 R9로 Reset 되게끔 해주면 두개의 입력으로 7분주를 만들 수 있다. ... 예를 들어 어떤 신호를 7분주를 하고 싶다면 원래 출력이 0111일때 0으로 해주어야 한다. 이때 출력이 0111을 감시하려면 3입력형 AND gate가 필요하다. ... reset기능이 있습니다. 2,3은 0으로 reset을 하지만 6,7번에 신호를 주면 1001(9)로 reset이 됩니다. 9로 reset되는 기능은 거의 사용하지 않지만 때로는 특이한 분주
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.29
  • 디지털공학실습 : 디지털 시계 제작
    다음으로 분주회로에서는 발진회로로부터 얻은 구형파로 초 단위를 나타낼 수 있는 1Hz의 주파수를 얻는다. 1Hz 클록펄스가 하나씩 인가될 때 마다 초를 카운트하는데, 사용된 10진 ... 저항 100K 외에 가변저항을 이용하여 초의 빠르기를 조절할 수 있도록 하였다.(2) 분주회로시계의 초 단위를 나타내기 위하여 CMOS 4020 소자를 사용하였다. 1초를 나타내기 ... /분이기 때문에 60진 카운터를 사용하였고, ‘시’는 12시간을 끝으로 하여 12진 카운터로 구성하였다. 60진 카운터는 7490의 10진 카운터와 7492의 6진 카운터를 합쳐서
    리포트 | 5페이지 | 1,000원 | 등록일 2015.11.25
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    순차회로에서 수행한 내용들이 stopwatch를 구현하는데 많이 사용되었으며 카운터 설계, finite state machine 설계 등이 있었다. ... 따라서 Reset 버튼을 누르지 않은 상태에서 동작 상태가 stop 인 경우 분주기는 동작을 하지만 분주에 사용될 클럭이 계속 ‘0’인 상태이기 때문에 분주 카운트가 올라가지 못하고 ... 이와 같이 작성된 코드는 다음과 같다.분, 초, 초 분주기 설정과 자릿수 증가 구현스위치 작동을 구현한 후 얻어낸 s_clk을 사용하여 분, 초, 초 분주기 설정과 자릿수 증가를 구현해
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • Atmega128의 PWM 제어 코드 및 설명
    주파수 분주 기능으로 주로 사용? 상향카운터? 0x00 ~ OCR0 계수 동작 반복? OCR0값과TCNT0값이 같으면 카운트 도중Clear? 오버플로우(OVF) 인터럽트? ... 하향카운터 비교매치 →OC0 = 1 출력(COM0 1:0 = 2)여기서 타이머의 전반적인 내용을 정리하면- 타이머는 MCU의 내부 클럭(ck I/O > 분주기 > ck T)을 이용하여 ... 때 8분주, 011일 때 32분주, 100일 때 64분주, 101일 때 128분주, 110일 때 256분주, 111일 때 1024분주비가 되도록 한다. n=2 즉, 타이머2의 경우에는
    리포트 | 11페이지 | 1,500원 | 등록일 2015.01.27
  • 디지털실험 - 실험 13. 비동기 계수기 예비
    뿐만 아니라 주파수분주, 시간측정, 주파수 또는 주기측정 및 공정의 제어 등 그 응용분야가 대단히 넓은 회로이다. ... 이들은 각각 직렬 카운터와 병렬 카운터라고도 한다. ... 비동기 카운터에서 누적되는 지연시간은 카운터의 응용에서 불필요한 시간이 되며 카운터가 동작하는데 동작 속도의 제한을 준다.예비보고서 2) 비동기식 카운터의 장단점을 열거하라.동기식
    리포트 | 12페이지 | 1,500원 | 등록일 2017.04.02
  • 타이머와 PWM 예비보고서
    [자동 재적재(auto reload)모드]일반적으로 주파수 분주의 용도로 사용된다.? ... 3의 동작 모드를 결정하는 기능- 비트 2~0(CSn2~0 (클럭 선택)): 타이머/카운터1과 3의 클럭 소스 또는 프리스케일러의 분주 비를 선택하는 기능이다.CSn2CSn1CSn0클럭 ... 카운터는 업 카운터로서만 동작한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2015.11.09
  • 실험2. 플립플롭 및 시퀀스회로의 기초
    한편 이러한 회로는 주어진 클록 입력의 주파수를 절반씩으로 줄여나가는 분주회로로 사용될 수도 있다. ... 그림5는 세 개의 플립플롭으로 이루어진 카운터 회로를 나타내고 있다. ... 이러한 비동기 입력들에 대한 명칭은 IC의 제작회사마다 datasheet에 표시하는 방법이 조금씩 다르다.플립플롭의 기본응용회로-Counter 회로플립플롭의 가장 흔한 응용예는 카운터
    리포트 | 8페이지 | 3,500원 | 등록일 2018.07.31
  • 타이머와 PWM
    레지스터 이해)- PWM 신호 출력 제어 방법 습득3) 사전지식PWM 신호에 의한 LED 밝기 조절 방법-듀티비가 100%에 가까울수록 LED의 깜박임이 많이지면서 밝아짐타이머/카운터 ... 설정- COM 비트는 11로 세팅하여 업카운팅의 경우 OC0를 1로 세트하고, 타운카운팅의 경우 OC0를클리어 시키도록 설정- PWM 클럭 = 메인클럭/N*510 , (N = 클럭분주 ... 사용Phase Correct PWM 동작 모드 사용- WGM 비트를 PC PWM 모드인 01로 설정TCCR0 설정 (TCCR0=0x71;)- CS 비트는 01로 세팅하여 Prescaler의 분주비를
    리포트 | 7페이지 | 1,500원 | 등록일 2017.01.11
  • [Flowrian] 60 to 1 Frequency Divider (TTL 7457)의 Verilog 설계 및 시뮬레이션 검증
    TTL 7457 회로는 입력된 클럭을 60 대 1로 분주하는 주파수 분주기 회로로서 내부에 구현된 3개 카운터의 연결을 조합하면 여러 가지 비율의 주파수 분주를 구현할 수 있다. ... 3. 5진 카운터의 Verilog 설계 및 시뮬레이션 검증 - 동작 사양5진 카운터는 입력된 클럭을 5대 1로 분주하는 회로이다.리셋 단자 rst는 Active High 로 ... TTL 7457 회로에는 6진 카운터와 5진 카운터와 2진 카운터가 포함되어 있다. 6진 카운터는 독립된 회로로 구현되어 있지만 5진 카운터의 출력은 2진 카운터로 연결되어 10진
    리포트 | 16페이지 | 1,000원 | 등록일 2014.04.26 | 수정일 2014.05.16
  • 8051마이크로컨트롤러 시험자료
    내부시스템 클럭의 1/12 분주한 것을 계수하는 것을 타이머,외부 T0,T1에 입력되는 클럭을 계수하는 것을 카운터/타이머는 클럭 발진 주파수를 12분주한 클럭이 계수기의 입력으로 ... 8051 마이크로컨트롤러 시험자료※타이머/카운터 차이점=>타이머의 각 단게는 입력 클럭을 2분주하여 동작하는 하나의 2플립플롭으로 구성8051 타이머는 두 개의 16비트 타이머로 구성되며 ... 사용되는 클럭의 소스는 내부시스템 클럭을 1/12로 분주한 것과 외부 T0,T1에 입력되는 클럭이다.
    시험자료 | 6페이지 | 1,500원 | 등록일 2014.12.04
  • <<AVR ATmega128을 이용한 LED실험 해석>>avr atmega128,led실험,led점멸,led 시프트,쉬프트,pwm led 밝기조절,광량조절, 소스코드, 회로도
    128 //상향카운터에서 OCR0과 같으면 OC0 = 0 //하향카운터에서 OCR0과 같으면 OC0 = 116Mhz / 128 / 510 = ... void){DDRB = 0xFF; // B PORT 모두 출력모드unsigned char i, val; // 카운트 변수 선언TCCR0=0x65; //PC PWM Mode, 분주
    리포트 | 16페이지 | 4,000원 | 등록일 2015.05.07 | 수정일 2017.04.20
  • [Flowrian] 50 to 1 Frequency Divider (TTL 7456)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7456 회로는 입력된 클럭을 대 로 분주하는 주파수 분주기 회로로서 내부에 구현된 3개 카운터의 연결을 조합하면 여러 가지 비율의 주파수 분주를 구현할 수 있다. - TTL ... 첫번째 5진 카운터는 독립된 회로로 구현되어 있지만 두 번째 5진 카운터의 출력은 2진 카운터로 연결되어 10진 카운터의 동작을 구현한다.리셋 단자 일 때 리셋으로 동작하여 모든 출력 ... 7456 회로에는 아래 그림과 같이 두 개의 5진 카운터와 하나의 2진 카운터가 포함되어 있다.
    리포트 | 13페이지 | 1,000원 | 등록일 2014.04.24
  • 순차회로 설계 - 카운터 결과보고서
    .- 즉, 4MHz = 4 × 106 Hz = 2 ( 2 × 106 ) 이므로 클럭을 1 / ( 2 × 106 )으로 분주해야 하므로 클럭 분주 신호 cnt의 값을 106-1=999999로 ... 성질을 이용하여 8Hz를 구현하였다.- 즉, 4MHz = 4 × 106 Hz = 8 ( 0.5 × 106 ) 이므로 클럭을 1 / ( 0.5 × 106 )으로 분주해야 하므로 클럭 분주 ... 8비트짜리 존슨 카운터로 카운팅 할 때마다 MSB의 값을 반전시켜서 LSB로 이동시키는 카운터이다.- 존슨카운터의 기본 주파수를 8Hz로 설정하고 VHDL 모듈을 코딩하였다.- RoV
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 설계04. AD DA 컨버터 응용 전기회로 설계 (예비레포트)
    이 경우 반드시 1/2분주를 먼저해주고 1/5분주로 넣어줘야 한다. 반대로 1/5분주를 먼저하고 1/2분주를 하면 이상하게 카운터가 된다. ... 그래서 시계나 주파수 카운터등에 많이 사용되는데, 여기서 주의할 것은 74LS90은 1/2 분주와 1/5분주회로가 따로 구성되어 있다는 것이다. ... 아래 7490 내부구조 그림에서 CKA가 1/2분주입력이고, CKB가 1/5분주입력이다.
    리포트 | 5페이지 | 8,000원 | 등록일 2014.06.15 | 수정일 2020.04.26
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    따라서 플립플롭들의 동작시간이 일치한다.동작시간이 대폭 단축된다.(5) 클락 분주기입력 클럭을 특정 주파수로 분주하는 회로. ... 우선 시간 부분을 설명하면 다음과 같다. 4Mhz의 클락을 분주시켜서 주기가 0.01초인 클락으로 바꾼다. ... 변수가 0이면 클락 카운터카운터를 하지않고 그대로 멈추게 하였고1이면 클락 카운터카운터를 하도록 구현하였다.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 마이크로프로세서 7번째 예비
    동작 모드,프리스케일러의 분주비 설정 등의 기능을 수행하는 레지스터이다.1)FOC 비트는 PWM 모드가 아닌 경우에만 유효한 것으로 이를 1로 설정하면 강제로 즉시 OC단자에 출력 ... disableOCR2=7; //OCR값이 7이면 1ms가 된다.TCCR2=0x05 ;// 타이머 시작 분주비 1024로 설정.TCCR2=0x00 ; // 타이머 끝TIMSK |= ... 출력한다.OC 단자에서 출력되는 파형의 출력 주파수는f_{ oc}= {f _{ clk_I/O} }over {2 BULLETN BULLET(1+OCR)}로 계산되며N은 프리스케일러의 분주비로서
    리포트 | 7페이지 | 1,000원 | 등록일 2014.05.18
  • [디지털회로실험] 중간 프로젝트(Mid-Term Project) 가위바위보 게임 제작
    분주기☞ 분주기는 오실레이터에서 나오는 1MHz의 주파수를 10Hz까지 낮추는 과정인데, 10진 카운터TTL(7490)을 사용했다. ... 맨 왼 쪽부터 오실레이터와 분주기, 그 다음은 랜덤발생기와 사용자 입력 엔코더, 다음은 승패 비교기, 다음은 승리 횟수를 세주는 카운터와7-Segment를 이용한 승 수 출력의 순서로 ... 이를 이용하여, 카운터 출력 값의 MSB와 LSB를 AND Gate에 입력하여 1이되는 순간 다음 카운터로 넘어가게 한다면, 7490 TTL을 5개 사용하여 각 카운터 당 주파수를1
    리포트 | 11페이지 | 4,000원 | 등록일 2014.10.21
  • 타이머와 PWM 결과보고서
    코드를 살펴보면 TCCR0 = 0x71;은 01110001인데 0~2비트의 001은 프리스케일링이 없어서 1분주로 하는 것이나 마찬가지이다. 6번과 3번 비트는 WGM인데 PC PWM ... 먼저 가장 기본이 되는 CTC Mode에 대해 설명하자면 업 카운터로서만 동작하는 카운터로 한계값(최대로 세는 수)를 설정한다. 0으로부터 설정된 한계값까지 세고 다시 0으로 클리어 ... OCRn의 값을 바꾸면 그 다음 카운터 주기를 원하는 대로 변경이 가능하다.
    리포트 | 4페이지 | 1,500원 | 등록일 2015.11.09
  • 3주차 예비보고서(타이머와 카운터)
    타이머/카운터 인터럽트 마스크 레지스터(TIMSK)2.3.3 프리스케일러(Prescaler)① 고속의 클럭을 사용하여 타이머를 동작시킬 때 나타나는 문제를 해결하기 위해 클럭을분주하여 ... 분주비 : 1, 8, 64, 256, 10242.3.4 8비트 타이머/카운터의 일반 동작 모드① ATMega128는 4가지의 타이머 동작모드를 가짐② 가장 기본적인 동작모드가 일반동작 ... 분주비 ; 1, 8, 32, 64, 128, 256, 1024④ 타이머 2? 클럭소스 : T2핀으로 입력되는 외부 클럭, 내부클럭?
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 실험4 AVR 타이머와 카운터
    만일 72를 초과하면 인터럽트를 발생시켜 0으로 클리어 되고 다시 분주된 주기마다 한 개씩 값이 늘어난다. ... 이것은 타이머/카운터에 사용할 클럭과 프리스케일러를 선택하는 것이다. ... CS02~CS00이 모두 1로 설정되도록 하였으므로 이 출력으로 1024분주를 나타낸다.TCNT0 =0xff ? 72;오버플로우까지 72로 카운트한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.09.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대