• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(15,541)
  • 리포트(13,615)
  • 자기소개서(722)
  • 시험자료(716)
  • 방송통신대(243)
  • 논문(215)
  • 서식(22)
  • ppt테마(5)
  • 이력서(2)
  • 기업보고서(1)

"제어신호" 검색결과 121-140 / 15,541건

  • vhdl 센서를 이용한 신호제어
    )A도로신호등(황색,FND 0) B도로신호등(적색)A도로신호등(적색) B도로신호등(녹색,FND 8)A도로신호등(적색) B도로신호등(녹색,FND 7)A도로신호등(적색) B도로신호등(녹색 ... (녹색,FND 2)A도로신호등(적색) B도로신호등(황색,FND 1)A도로신호등(적색) B도로신호등(황색,FND 0)A도로신호등(녹색) B도로신호등(적색)A도로신호등(녹색) B도로신호등 ... ,FND 5)A도로신호등(적색) B도로신호등(녹색,FND 6)A도로신호등(적색) B도로신호등(녹색,FND 4)A도로신호등(적색) B도로신호등(녹색,FND 3)A도로신호등(적색) B도로신호
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • 비동기 제어 신호 set을 갖는 dff 프리미티브 회로 설계
    스위치레벨모델 dff with async set 설계소개글Verilog HDL을 이용한 디지털 논리 회로입력 신호:clk,d출력 신호:q,qn가용 트랜지스터:6개Pmos와 Nmos
    리포트 | 5페이지 | 50,000원 | 등록일 2012.08.26
  • 비동기 제어 신호를 갖는 마스터 슬레이브 dff (스위치 모델)
    소개글스위치 레벨로 구현된 비동기 제어 입력 신호 prn,치구을 갖는 dff 회로 설계 및 검증논리회로아래와 같은 진리표를 갖는 마스터 슬레이브 비동기 제어 신호 dffPrn Clrn
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.10
  • 자일링스(Xilinx)를 이용한 신호제어기 설계
    ) 센서를 통한 교통시스템 제어교통신호등을 보면 빨간색,초록색,노란색, 좌회전 등이 반복되는 것을 볼 수 있다. ... 4개의 신호를 가진다.1) 사거리 제어와 횡단보도우선 왼쪽의 그림을 보면 사거리에 4개의 신호등이 있고 8개의 횡단보도가 있는것을 볼 수 있다. ... 내용2.1 목표설정사거리 신호제어(4거리에 신호등이 있고, 그에 따른 횡단보도가 각각 있으며, 센서로 교통량을 감지)우선 위에서 보이는 것처럼 신호등은 빨강, 노랑, 좌회전, 초록의
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • MPU를 이용한 신호제어, 교차로 신호등 구현, 8051을 이용한 신호제어
    ISD2532P23은 start/pause를 선택하는 거➜푸시 버튼으로 P24은 stop/reset을 선택하는 거➜푸시 버튼으로 P25은 LED나 다른 Device를 작동케 하는 핀이지만 LED제어는 ... 설계 정의 및 목표1) 개요 8051를 이용하여 4거리 교통 신호 등과 횡단보도 신호를 설계하고 실제 신호등과 똑같이 구현.1. ... 구현 - 횡단보도 신호등과 음성 출력 - 녹음/재생 IC ISD2532 와 8051 간의 인터페이스 구현8051메인보드를 이용하여 4거리 교통신호등의 모형 구현함 자동차신호는 적색
    리포트 | 28페이지 | 1,000원 | 등록일 2008.04.11 | 수정일 2015.09.30
  • [Flowrian] 신호제어 회로의 Verilog 설계 및 시뮬레이션 검증
    .- 타이머 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 신호제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 최상위 신호제어 회로 : 구조수준
    리포트 | 16페이지 | 1,500원 | 등록일 2011.10.03
  • 플립플롭으로 신호등 만들기 제어(디지틀논리)
    ●FLIP FLOP-클럭 펄스가 나타나기 바로 이전의 입력이 출력에 반영되어 다음클럭 펄스가 나타날 때까지 그 상태를 유지●타이밍 순서디지털 시스템의 동작을 제어하는 제어기는 제어신호를 ... 목표 : 플립플롭을 이용한 신호제어기 작성.◆ Flip-Flop4bit동기 업 카운터를 만들기 위하여 JK F/F를 사용. ... 제어기에서 사용하는 타이밍 신호는 카운터나 시프트 레지스터를 이용하여 생성시킬 수 있다.●카운터(counter)?
    리포트 | 13페이지 | 2,000원 | 등록일 2009.11.15
  • 비동기 제어 신호 set을 갖는 dff 회로 스위치 모델 설계
    스위치레벨모델 dff with async set 설계소개글Verilog HDL을 이용한 디지털 논리 회로입력 신호:clk,d출력 신호:q,qn가용 트랜지스터:6개Pmos와 Nmos
    리포트 | 5페이지 | 3,000원 | 등록일 2012.08.25
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호제어기 보고서
    실험이론이번 실험에서는 신호등을 제어하는 제어기를 설계할 것이다. ... 제어기는 고속도로(Highway)와 국도(Farmway)의 교차로를 모델로 하여 국도 양 끝에 존재하는 센서의 입력에 따라 신호등의 상태가 결정되도록 제어하는 기능을 한다.초기에 ... 실험과정 및 소스코드.위에서 설명한 것과 같이 이번 실험에서 유한상태머신을 이용하여 신호제어기를 설계할 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • Verilog를 이용한 교통신호제어기(TLC) 설계
    색ll : 국도 신호등의 색pl : 횡단보도 신호등의 색2. ... DiagramInputsX : Local road에서 차를 감지하는 센서P : 보행자가 누르는 버튼clock : 시스템 clockclear : 1일 경우 시스템 리셋Outputshl : 고속도로 신호등의
    리포트 | 11페이지 | 1,500원 | 등록일 2009.11.17
  • 국내외 교통신호제어기 기술현황 및 신기술 동향 조사
    외국사례조사본 과제의 핵심개발기술인 저전력 신호제어 기술에 대한 효과비교를 위해 다른 나라에서 널리 사용되고 있는 제어기의 주제어부와 신호구동부를 제어하기 위한 교류(AC)전압과 신호등으로 ... The cameras will supply data from the intersectio기에서 제어하여 신호등부에는 출력만 나가는 방식과 제어기와 위성 모듈을 CAN BUS로 연결하여 ... 홍콩LED신호등의 신호등구 빛을 광통신의 매개체로 활용하여 소규모 지역에서 데이터 송수신에 이용하는 기술.
    리포트 | 10페이지 | 2,000원 | 등록일 2013.01.28
  • 종합설계 신호제어 중간보고서
    Verilog HDL을 이용한 신호제어기 설계 : 디지털 집적회로 설계능력 습득. 4. LCD LED Display 설계 : 효과적인 정보전달 방법 습득. ... 본, 신호제어기에서는 전압을 낮추는데 사용함. - Device 명 : LM2575T-5VLCD*LED - LED(Light Emittin Diode)란 발광다이오드의 약자로서 전류가 ... 흐르면 빛을 방출하는 다이오드의 한 가지. - 전기 신호를 적외선 또는 빛으로 신호를 주고 받는 반도체의 일 종.
    리포트 | 21페이지 | 3,000원 | 등록일 2009.07.20
  • 신호제어기 설계제안서 PPT
    신호제어기 설계 제안서 15 - 1프로젝트 목표 - 실험 시간에 배운 내용을 토대로 신호등의 원리를 이해하고 기본적인 회로를 설계할 수 있다 . ... 따라서 각 신호에 대한 불식을 정리하면 다음과 같다 . ... 15 - 4일반적으로 전자 신호등은 BLUE- YELLOW- ARROW- YELLOW- RED 순으로 점등하게 되어 있다 .
    리포트 | 15페이지 | 3,000원 | 등록일 2008.12.29 | 수정일 2015.12.14
  • [vhdl]신호제어하는 코딩 (vhdl)
    library ieee;use ieee.std_logic_1164.all, ieee.numeric_std.all;entity traffic_control is port(clk, reset, car : IN std_logic; led ..
    리포트 | 5페이지 | 1,000원 | 등록일 2005.11.11
  • [마이크로프로세서]AT89S51을 이용한 4거리 신호제어
    주제 및 과제 제출자 정보- 주제 : AT89S51을 이용한 4거리 신호제어2. ... 등 관련기관에서 규격 제도화를 추진 중에 있어 우리나라에서도 LED교통신호등이 보급되고 있다.이에 따라 간단하게 수업에서 배운 8051 칩셋과 LED등을 이용해 4거리 신호제어 ... 차량에 대한 신호등은 빨강, 노랑, 좌회전신호 (화살표), 녹색신호 가 있고, 횡단보도에 의한 신호는 빨강, 녹색 신호만 있다.4.
    리포트 | 9페이지 | 2,000원 | 등록일 2009.03.31
  • [디지털 논리]사거리 신호제어
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 프로젝트를 수행하였다. ... ●FLIP FLOP-클럭 펄스가 나타나기 바로 이전의 입력이 출력에 반영되어 다음클럭 펄스가 나타날 때까지 그 상태를 유지●타이밍 순서디지털 시스템의 동작을 제어.? ... 이 모드에서 회로는 자주 발진하지 않고 입력에 트리거 펄스가 가해질때마다 미리 정해진 시간만큼 지속되는 단일 펄스를 만들어 낸다.▲555 내부회로도◀전압제어발진기♧이 부품의 데이터시트②J-K
    리포트 | 22페이지 | 3,000원 | 등록일 2006.06.26 | 수정일 2016.11.21
  • [계측 및 제어] 신호조절기
    따라서 입력신호에 따른 그리드의 전하변동은 양극회로 내의 전류를 제어하는데 사용 될 수 있다. 증폭기는 그 구조, 기능 등에 따라 여러 가지로 분류된다. ... VTVM등이 최종 기기로 사용될 수 있으며 기록계나 제어기를 유동시킬 경우에는 전력증폭기가 사용된다. ... 신호가 측정하기에 적절하게 크거나 작지 않으면의 형태로 바꾸어야 한다. 즉 변환기에서 나온 출력을 신호조절기에서 계측기에서 측정하기에 적절한 신호로 조절하여야 한다.
    리포트 | 24페이지 | 1,000원 | 등록일 2004.05.06
  • [시퀀스제어] 12. 펄스 신호에 의한 계수제어
    펄스 신호에 의한 計數制御1. 目的 : 自動失機등에 利用되는 計數回路의 動作原理와 回路設計 및 運轉技術을 익힌다.2. 設 置 圖3. ... 익힘問題1) 계수회로가 이용되는 기기를 들으시오.자판기와 같이 신호입력을 주어 자동적으로 기동, 정지하는 원리디지털 계측의 기본이 되는 회로이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2003.03.29
  • 대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템
    제어, 시간대와 관계없이 운영하는 고정제어 방식을 혼용해 사용하고 있다.현재 서울 시내에 설치된 3000여 개의 교차로 중 교통량에 따라 신호주기를 달리하는 ‘실시간 신호제어’를 시행하는 ... 대도시전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라.♪ 경제적인 소형신호제어기 개발·보급, 교통안전시설 자료관리 시스템 재구축현재 ... ‘서울시 맞춤형 신호제어시스템’을 적용한다는 것이다.이에 따라 시는 일정 구간에 설치된 여러 개의 신호 제어기를 묶어 하나의 신호연동 그룹으로 만들고, 다시 여러 개의 연동 그룹을
    리포트 | 4페이지 | 1,500원 | 등록일 2008.04.10
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대