• 통큰쿠폰이벤트-통합
  • 통합검색(197)
  • 리포트(191)
  • 시험자료(4)
  • 자기소개서(1)
  • 방송통신대(1)

"1:4 DEMUX" 검색결과 121-140 / 197건

  • 실험8. 인코더와 디코더 회로 예비
    멀티플렉서와 디멀티플렉서를 이용하여 1개의 선로를 통한 4대의 전화를 연결하는 개략도를 작성하라.X0 -X1 -X2 -X3 -- Y0- Y1- Y2- Y34 × 1MUX1 × 4DeMUXS0 ... 다음 회로를 구성하고 실험하라.그림 6D0D1D2D3D4D5DCBA000001000010000100001000010000100000 ... 의 2선-4선 디코더 회로와 같이 2진수 입력 BA의 4가지 조합의 부호들을 받아 서로 유일하게 구분되는 4개의 출력으로 바꿔주는 회로를 말한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • 아주대 논회실 실험9 예비보고서
    74HC00 2개, 74HC03 1개, 74HC89 1개? LED 4개, 330Ω저항 4개? ... : 74HC00을 이용하여 R-S latch를 2개 구성하고 입력과 출력부분에 DEMUX를 구성하여 선택된 비트의 래치에 정보가 저장되도록 구성한다. ... 실험 1에서, 한번에 하나의 bit(A or B)에 하나의 데이터(1 or 0)만 써야 한다.Write 과정을 한 이후에는 접지, Read는 선택한 상태에서 값을 읽는다4.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 대역확산통신
    - 그림 (a)는 단말장치 A가 t1, B가 t1, t2, C가 t2, D는 t4의 시간에 실제로 보낼 데이터를 가지고 있는 경우이다.? ... DEMUX는 다중화된 데이터 스트림을 받아들여 채널에 따라 데이터를 분리하고, 적절한 출력회선으로 보내준다.? ... MUX는 DEMUX와 단일 데이터 링크로 연결된다. MUX는 n개의 입력회선으로 부터 데이터를 받아들여 하나로 결합하여 고용량 데이터 링크로 보낸다.
    리포트 | 8페이지 | 2,500원 | 등록일 2011.11.20
  • 실험 4. 멀티플렉서와 디멀티플렉서
    (2)에서는 74HC139을 이용하여 Enable을 갖는1x4 DEMUX 구성하였다. ... 이용하여 구성한 1x4 DEMUX 와 같이 출력단을 선택하는 DEMUX의 기능을 가지고 있지만 Enable과 출력단에 Bubble이 붙어 있어, 앞서 실험한 회로와는 정반대의 결과값을 ... S1S0가 10이면 Y2, S1S0가 11이면 Y3를 선택하여 Low의 출력을 하는 1×4 DEMUX로써의 기능을 하고 있다.이 회로는 앞의 실험2.(1)에서 한 74HC11과 74HC04를
    리포트 | 10페이지 | 2,000원 | 등록일 2009.03.10
  • 실험 4. 멀티플렉서와 디멀티플렉서
    1×4 DEMUX선택입력출력S1S0Y3Y2Y1Y000000D0100D0100D0011D000위의 simulation을 보면 Select 단자 S0, S1에 따라출력단(Y0, Y1, ... 74HC13974HC139 칩은 2×4 Dual DEMUX로 칩 안에 2개의 2×4 DEMUX를 가진 위와 같은 구조이다.먼저 Enable Low 단자인 E에 Low 입력이 들어가야 ... 앞의 Demux 회로에서 사용한 2x4 decoder인 74HC139의 출력에 bubble이 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.03.10
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    이 회로를 확장하여 선택 핀과 데이터 입력을 늘리게 되면 4x1, 8x1, 16x1 등의 먹스를 만들 수 있다.다음은 4x1 먹스의 회로도이다. 2개의 선택입력 S0, S1을 이용해 ... 실험 목적이번 실험은 MUX와 DEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... 4개, hex는 6개를 의미한다.(2) Demultiplexer디멀티플렉서 (이하 디먹스, DEMUX)는 먹스와 반대의 기능을 한다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    (2) Q(3) Q(4) Q(5) Q(6) Q(7) ... 1x8 DEMUX HYPERLINK \l "discussion" 3. ... 전자전기컴퓨터설계실험IIIPOSTLAB REPORT[MUX and DEMUX]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" 1.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL MUX and DEMUX(vhdl prelab 입니다)
    신호 S1 S0=”01”이면 and 게이트 U2의 출력으로 신호 i1가 출력되고, 나머지 and 게이트 U1, U3, U4의 출력은 ‘0’이 된다. ... 신호 S1 S0=”10”이면 and 게이트 U3의 출력으로 신호 i2가 출력되고, 나머지 and 게이트 U1, U2, U4의 출력은 ‘0’이 된다. ... 신호 S1 S0=”11”이면 and 게이트 U4의 추력으로 신호 i3가 출력되고, 나머지 and 게이트 U1, U2, U3의 출력은 ‘0’이 된다.
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • PMSM속도제어회로설계
    )2.4 인버터2.5 Invers_DQ2.6 PID 제어기3.설계 내용3.1 단상인버터 설계3.2 3상인버터 설계3.3 전체 PMSM 속도제어 회로4.결 론1. ... block #1 : PMSM 출력에서 고정자 전류와 회전자(기계각)속도를 뽑아낸다.여기서는 3상전류를 확인하기 위함이므로 고정자 전류에 scope를 달아서 확인한다.⑥ Demux ... REPORT-PMSM 속도제어회로 설계전기기기 및 제어설계전기전자 전공◈ 목 차 ◈1.설계목적2.이 론2.1 PMSM2.2 동기전동기2.3 모터 구동을 위한 스위칭 소자 (Mosfet
    리포트 | 15페이지 | 1,500원 | 등록일 2010.12.31
  • Chapter 8(pre)
    4x1 MUX와 1x4 DEMUX1-2. decoder and encoderDiscrete data is expressed as binary code in digital system ... ;2'b10: Y=I2;default: Y=I3;endcaseendendmodulemodule DEMUX_1_TO_4 (I, S, D0, D1, D2, D3);inputI;input ... 1 multiplexer, 1×4 demultiplexer T/F table.module MUX_4_TO_1 (I0, I1, I2, I3, Y, S);inputI0, I1, I2,
    리포트 | 14페이지 | 1,000원 | 등록일 2011.04.04
  • [논리회로실험] Mux and Demux (결과)
    만약 D입력으로 Low가 입력된다면, 4개의 출력모두 무조건 Low로 출력되어 demux로 동작하지 않는다.3) 1X4 디멀티플렉서 IC인 74HC139을 이용하여 다음의 회로를 구성한다 ... 4개의 입력중 하나를 선택하는 mux와 반대로 출력위치를 결정하는 demux는 D입력을 통해 항상 H가 들어가고 스위치 입력을 통해 H/L를 조절하므로써 4개의 출력중 선택하여 하나에만 ... 토의 및 반성1) 이번실험에서는 mux와 demux의 차이를 명확히하고 그 작동원리도 이해 할 수 있었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2009.03.20
  • 실험(1) 멀티플렉서 결과보고서
    뒷단에 들어가는 데이터는 다시 S4와 S3에 의해 선택되어지고 결과값 Y로 출력된다.1-to-4 DEMUX를 이용해 1-to-16을 만들었다. ... 일단 앞단 DEMUX로 input Y가 들어온다. 그 데이터는 선택신호 S1과 S0에 의해 앞단의 D0~3로 출력된다. ... 아래의 그림은 4-to-1 멀티플렉서를 보인 것으로 입력 데이터~중에서 선택신호에 의해서 지정되는 데이터 하나만 출력 데이터로 선택된다.그림 4-to-1 논리회로원리를 살펴보면,과
    리포트 | 5페이지 | 1,500원 | 등록일 2009.05.25
  • 예비04_Multiplexer Demultiplexer
    주로 사용하고 그에 따라서 select input은 각각 1,2,3,4개를 사용한다. data input에서 select input값을 가지고 한 개의 data를 선택해서 data ... 0이 출력되고 “0”일 경우에 data switch로 동작하게 된다.◆ 디멀티플렉서Demultiplexer(DEMUX)는 MUX와 반대의 기능을 한다. ... DEMUX 역시 data input, select input, 그리고 enable input 3종류의 입력이 있으며 출력은 data output이 있다. input 부분은 data
    리포트 | 5페이지 | 2,500원 | 등록일 2010.10.19
  • 전기전자기초실험 Combination Logic Circuit Design 결과보고서
    .- Procedure 1. 4*1 MUXThe I0/I1 procedure is the most delayed one which is taken 16.0ns.2. 1*4 DEMUX ... ;4`b0101: seg=7`b1011011;4`b0110: seg=7`b0011111;4`b0111: seg=7`b1110000;4`b1000: seg=7`b1111111;4`b1001 ... =7`b1111110;4`b0001: seg=7`b0110000;4`b0010: seg=7`b1101101;4`b0011: seg=7`b1111001;4`b0100: seg=7`b0110011
    리포트 | 10페이지 | 1,000원 | 등록일 2009.09.08
  • 디코더인코더
    시뮬레이션 :- BCD-to-10진 디코더- 8-to-2진 인코더- 우선순위 인코더- 4-to-1 멀티플렉서- 2-to-1 멀티플렉서- 1-to-4 디멀티플렉서6. ... 아래 그림들을 통해 확인할 수 있다.- 역다중화기(Demultiplexer, DEMUX)인코더와 디코더의 역할이 서로 상반되듯이 역다중화기도 다중화기의 역변환을 수행한다. ... 실험 방법 : (1) 책의 그림 5-2의 회로를 결선하고 각 지점에서의 전압을 표 5-3에 기입하라.(2) 책의 그림 5-3에 대해서 (1)을 반복하여 표 5-4에 기입하라.(3)
    리포트 | 6페이지 | 1,000원 | 등록일 2011.03.28
  • Mux & Demux(멀티플렉서)
    이 회로를 확장하여 선택 핀과 데이터 입력을 늘리면 4X1, 8X1, 16X1 등의 먹스를 만들 수 있게 된다.다음은 4X1의 회로도이다. 2개의 선택입력 S0, S1을 이용해 4개의 ... 주목할 사실은 2진 디코더가 DEMUX의 역할을 동시에 한다는 점이다. 2진 디코더의 nOE 핀을 데이터 입력 D핀으로 사용하면 DEMUX가 된다.IC decoder로 구현한 디먹스는 ... (Single : 1개, Dual : 2개, Quad : 4개)(2) 디멀티플렉서영어로 보면 Demultiplexer이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.09.29
  • MUX & DEMUX (예비)
    예 비 보 고 서실험 11MUX & DEMUX분 반자성 명박서영학 번20062688실험일2010년 5월 20일1. ... , 예를 들면 5를 나타내는 입력 단자에만 “1”이라는 신호를 주면 5=2²+20=4+1로 2²와 20를 나타내는 2개의 출력 단자에 “1”출력을 발생한다. 7을 입력하면 2²와 2¹와 ... 인코더디지털 전자회로에서 어떤 부호계열의 신호를 다른 부호계열의 신호로 바꾸는 변환기.여러 개의 입력 단자와 여러 개의 출력 단자로 이루어져 있으며, 어느 1개의 입력 단자에 “1”
    리포트 | 4페이지 | 1,000원 | 등록일 2010.07.27
  • 실험 예비보고서 4주차 MSI/LSI 조합회로 Decoder/Multiplexer
    이때 다른 모든 출력은 비활성 상태가 된다.INPUTSOUTPUTSB=SW2A=SW1L1 = 0L2 = 1L3 = 2L4 = *************010000100001◇ 부호기 ... 1SW3=0L2=BL1=A000100100100100000110101◇ Priority Encoder4가지 형태의 입력만이 아닌 모든 입력형태에 대해서 동작되는 인코더. ... ◇ 데이터 선택회로 및 분배회로각각의 기능 특성 때문에 MUX(멀티플렉서)는 데이터 선택회로, 그리고 DEMUX(디멀티플렉서)는 데이터 분배회로라고도 말하고 있다.
    리포트 | 3페이지 | 1,500원 | 등록일 2008.11.08
  • 디코더/디플레서 증명
    X2n. . .. . .n x 2n decoderN to 2N(N x 2N) Decoder1×4 DEMUX1 1 1 1 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 11  ... 2X4 디코더를 1X4 디멀티플렉서로 변경한것이다. ... “0” 2 x 4 디코더의 진리표1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 10 0 0 1 1 0 1 1Y0 Y1 Y2 Y3A BI0 I1 I2 In-1X0 X1 X2
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.25
  • 전기전자기초실험 Chapter 8 Combination Logic Circuit DesignPre-report
    For example, a 2:1 multiplexer has two data inputs, one data output, and one control input, while a 4 ... ***************************** mux, demux 客 厚搅茄 屈侥栏肺 内爹窃 *****module 7_seg (in, seg)input [3:0] in;output ... = 7'b0110000;4'b0010: seg = 7'b1101101;4'b0011: seg = 7'b1111001;4'b0100: seg = 7'b0110011;4'b0101:
    리포트 | 6페이지 | 1,000원 | 등록일 2011.12.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대