• 통큰쿠폰이벤트-통합
  • 통합검색(458)
  • 리포트(434)
  • 시험자료(22)
  • 자기소개서(2)

"4비트 가감산기" 검색결과 121-140 / 458건

  • 가산기 실험보고서
    실험목적본 실험을 통해 반가산기에 대해 알아본다.전가산기에 대해 알아본다.2비트 덧셈기에 대해 알아본다.2. ... 합하여 2비트 덧셈기를 만들어 작동 여부를 판단하는 실험이었다. ... -가산기가산기(Adder)와 감산기(Subtracter)는 2진수를 더하거나 빼는 디지털 회로이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • 실험 2. CMOS 회로의 전기적 특성 예비보고서
    감산기의 종류는 반감산기, 전감산기가 있다.반감산기1비트의 2개의 입력과 XOR gate, AND gate, Inverter gate로 구성된 회로이다. ... 당연히 A와B의 관계는 A에서 B를 빼는것이라 A-B라 이해하면된다.전감산기2비트이상의 2진수의 뺄셈을 하지못하는 반감산기에 대안으로 전감산기가 나왔는데, 가산기와 비슷한논리로 전감산기에는 ... OR GATE4.
    리포트 | 11페이지 | 1,000원 | 등록일 2017.12.07
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    즉, 1비트 비교기를 최상위 비트부터 비교하며 두수의 크기가 다른 경우 그 결과값을 출력값으로 만든다. 4비트 비교기를 예로 들어 보겠다. 4비트 비교기의 구성도는 다음과 같다.여기서 ... 여기서 A는 4비트이지만 Y는 5비트이다. 그러므로 concatenation(&)를 사용하여 ‘0’을 A의 가장 왼쪽비트 옆에 이어 붙인다. 따라서 Y ... Process문을 사용하여 동작적 모델링으로 설계해 보았다.먼저, 4비트 입력 A와 B 그리고 3비트 입력 S를 입력으로 설정 하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 시립대 전전설2 [4주차 예비] 레포트
    level modeling4비트 가산기를 always와 if 문을 사용하여 설계하고, 테스트벤치 시뮬레이션 후 장비에서 동작 검증하시오.라. 4-bit Comparator를 설계하고 ... 표현하는 경우, 가산기를 가감산기로 이용한다.전가산기전가산기는 반가산기 2개와 논리합 1개로 이루어진것으로 덧셈을 수행할때 하위자리에서 발생한 올림수까지 포함하여 계산하는 것이다. ... 비트 반가산기를 Behavioral Level modeling으로 설계를 하는 방법을 익히고 1비트 전가산기와 반가산기를 always와 if문을 사용하여 설계를 하는 방법을 익힌다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    실험 목표4비트 크기의 이진수 A, B와 2비트 크기의 선택 신호 S를 입력으로 받아 5비트 이진수 Y를 출력하는 산술논리연산장치(ALU)의 동작을 이해하고 설계한다. ... Package 선언함수 A6_JSW_CHS_Adder는 4비트 크기의 이진수 A_in[3:0]와 B_in[3:0]을 입력으로 받아 덧셈 후 결과값인 5비트 크기의 비트열을 출력으로 ... 프로시져 A6_JSW_CHS_Procedure는 마찬가지로 4비트 크기의 이진수 I0[3:0], I1[3:0]을 입력으로 받아 뺄셈 후 5비트 크기의 이진수 Subtract를 출력하는
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 디지털공학실험 05. 가산기 ALU 예비
    실험목적반가산기와 전가산기의 원리를 이해한다.반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부상용 ALU의 기능을 이해상용화된 4비트 ALU를 이용하여 두수의 가감산을 ... 입력과 1개의 4비트 출력을 가지고 있다 또한 4비트 기능선택 입력과 1비트 모드선택 단자가 있으며 각 비트의 조합으로 원하는 살술 및 논리연산을 수행할 수 있다.74181의 ALU의 ... 실험함으로써 ALU의 동작과 응용 확인이론.반가산기1비트의 이진수를 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 실험 3. 가산기와 감산기(Adder & Subtractor)
    또한 이 두 방법을 이용하여 4-bit serial adder와 4-bit parallel adder를 각각 구성하시오.1) 4-bit parallel adder① 논리회로 설계② ... (6) 전가산기를 이용하여 전감산기를 설계하고 위의 문제 (4)에서 구성한 회로와 비교하시오.- 위의 진리표에서 확인할 수 있었듯이 전가산기의 합과 전감산기의 차는 일치한다. ... 필요하므로 회로가 복잡하다.2) 4-bit serial adder① 논리회로 설계② 특징- 시프트 레지스터 2개에 입력 A, B를 넣어 LSB(least significant bit
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • [mahobife]디지털회로실험 가산기와 감산기 회로 예비보고서입니다.
    병렬 감산기라. 1의 보수를 이용한 감산기2비트 병렬 감산기의 회로도3. 가산기, 감산기 응용회로가. BCD 가산기라. ... BCD 가산기 회로 설계 및 실험4. 가산회로와 감산회로의 조함5. 제어신호에 의한 가산기와 감산기 동작Ⅱ. 이론1. ... 9 (왜냐하면, 1에 9를 보충하면 10이 된다)::4에 대한 10의 보수는 ? 6 (왜냐하면, 4에 6을 보충하면 10이 된다):7에 대한 10의 보수는 ?
    리포트 | 9페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 기초회로 실험 9주차 예비보고서, 실험 9. Multiplexer 가산-감산
    실험 개요(1) 전가산기 구성을 위해 2개의 4입력 Multiplexer 사용을 익힌다.(2) 2개의 4-입력 Multiplexer를 감산기로 사용하는 것을 익힌다.2. ... 전가산기 진리표는 다음과 같다.BACiSCo0*************00110110010101011100111111(3) 전감산기(Full Subtractor)전감산기를 구현하기 위해서는 ... 전감산기 진리표는 다음과 같다.BACiSCo00000001110101001100100111010111000111113.
    리포트 | 6페이지 | 2,000원 | 등록일 2018.03.23
  • 디지털논리회로
    아래자릿수에서 발생한 캐리까지 포함하여 3비트를 더하는 논리회로를 전가산기라고 하며 조합 논리회로이다.- 반감산기 : 2진수 1자리의 2개 비트를 빼서 그 차를 산출하는 회로를 말한다 ... .- 전감산기 : 입력변수 3자리 뺄셈에서 차와 빌려오는 수를 구하는 것을 말한다. ... (4bit)로 표현한 수를 말한다.- 대표적인 가중치 코드라고 한다.(2) 8421코드의 예제- 169를 BCD코드로 변환하기- 169 = 0001 0110 10014) 51111코드
    리포트 | 4페이지 | 5,000원 | 등록일 2018.07.14
  • <A+> 가산기 감산기 실험보고서 (예비, 결과)
    감산기의 진리표그림 4. 전감산기 회로수식 4. ... 두 개의 한 자릿수 이진수 input과 하위 자리올림 수(Carry)를 포함한다.전가산기는 입력 변수가 A, B 그리고 아랫자리의 자리올림수가 Z라 할 때 두 비트의 출력 즉, 합 ... 이렇게 Pspice 상에 전가산기 회로를 구성한 뒤 시뮬레이션을 8ns 동안 진행하도록 했으며 시뮬레이션이 진행되는 동안 DSTM1은 0~4ns까지는 0, 4ns~ 8ns 까지는 1의
    리포트 | 15페이지 | 1,500원 | 등록일 2018.11.10
  • 시립대 전전설2 [4주차 결과] 레포트
    표현하는 경우, 가산기를 가감산기로 이용한다.전가산기전가산기는 반가산기 2개와 논리합 1개로 이루어진것으로 덧셈을 수행할때 하위자리에서 발생한 올림수까지 포함하여 계산하는 것이다. ... 즉 1의 갑이 들어간곳에는 LED가 켜져야 하기 떄문에 오른쪽 사진에서 2번째 LED인 S4의 LED를 제외한 나머지 불이 켜지는 것을 확인이 가능하다.네번째 실험4-bit Comparator ... 가산기를 장비에서 동작 검증하시오.4비트 가산기A4A3A2A1B4B3B2B1CS4S3S2S1*************빨간색은 LED가 켜지는 것을 표시하였다!
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 인코더와 디코더 실험 레포트
    가산기와 감산기 회로1. 실험목적① 인코더의 의미와 동작 이해② 디코더의 의미와 동작 이해③ 인코더와 디코더의 응용 능력 배양2. ... 입력이 4개이니2 ^{n}GEQ 4로 n=2비트의 2진수로 변환된다. ... 디코더란 인코더의 반대라 생각하면 되는데, 해독기로 쓰이며 n비트의 입력부호를 2^n개의 상태 수 중 하나로 출력하는 변환회로이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.05.01
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2010년 2학기 중간시험 족보
    반가산기 1개와 전가산기 1개를 이용하여 2비트의 2진 덧셈을 수행하는 덧셈기를 블록도르르 이용하여 구현하시오.(2점)2. ... 반가산기 1개와 전가산기 1개를 이용하여 2비트의 2진 덧셈을 수행하는 덧셈기를 논리게이트를 이용하여 구현하시오.(3점)3. ... 기본 컴퓨터의 ALU의 기능에 대하여 아는 바를 쓰시오.(2점)5. 4가지 플립플롭에 대하여 간략히 설명하시오.(1점)6. 2의 보수를 사용하여 음수를 나타낼 경우에 산술 감산을 하는
    시험자료 | 2페이지 | 10,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • 디지털실험 - 설계 2 결과 보고서
    그리고 완성된 4비트 전가산기에 XOR게이트를 하나 추가한 후, 그 입력값에 S값을 정해주었다. ... 설계 결과 분석 및 고찰이번 설계는 기본 소자들을 이용하여 전감가산기를 구성하는 실험이었다. 설계과정은 먼저 4비트 전가산기를 구성하여 설계하였다. ... 특히 S값을 0으로 주고 전가산기를 표현할 때는 값이 제대로 출력이 되었다. 그래서 바로 전감산기를 구현하기 위해 S값에 1을 주었지만 전감산기가 제대로 작동하지 않았다.
    리포트 | 2페이지 | 1,500원 | 등록일 2017.04.02
  • 논리회로실험 결과 3
    세 오퍼랜드에 대한 전가산기의 출력은 위와 같았으며, 그 값은 진리표와 동일했다.③ 반감산기실험 3과 4는 가산기에 이어 1bit의 뺄셈 연산을 할 수 있는 감산기를 실험했다. ... 이러한 출력은 실제 출력단과 GND 사이에 위치한 LED에 의해서 확인 가능했다.② 전가산기전가산기는 1bit 연산에 대하여 하위비트의 결과 또한 반영하기 위해 3 오퍼랜드 연산을 ... 게이트는 각 회로는 최소 2개부터 최대 4개까지 Gate를 사용했으며, 간단한 회로 구성을 통해 가산기는 S와 C를, 감산기는 D와 B를 구할 수 있었다.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.09.23
  • 베릴로그 8비트 가감산기
    전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... A7,B7은 값에 영향을 주지 않고 단지 부호만 결정하는 sign bit임. ... 값이고, sign bit이 1인것은 모두 위와 같은 방식으로 2의보수 취했으며 음수값이라는 것을 알 수 있음.
    리포트 | 17페이지 | 1,000원 | 등록일 2018.09.09
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    ahead12bit 감산기carry Look ahead12bit 곱셈기booth multiplier(5)DE-70 보드에서의 동작DE2-70표현내용HEX0-3입력한 금액과 잔액HEX4사려는 ... 프로젝트 소개(1) 프로젝트 목표수업시간을 통해 배운 카운터, 가산기, 감산기, Multiplier,와 Sequential 로직을 통합적으로 이용하여 verilog 설계를 해보는데 ... [2]잔액 반환(6) block diogram4bit4bit4bit4bit12bit12bit12bit12bit12bit12bit12bit12bit12bit4'bit Coin selecter
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 디지털실험 - 실험 3. 2비트 전가산기 결과
    *결과보고서*실험주제실험 3. 2비트 전가산기조13조1. ... 고찰1) 실험 1,2,3,4의 결과를 이용하여 가산기 및 감산기의 출력을 부울대수식으로 유도하라.- 실험 1(반가산기) : S = A’B + AB’=A? ... mV4.03 V1100160 mV680 mV실험 3은 NOT 게이트, AND 게이트, Exclusive-OR 게이트로 반감산기 회로를 구성한 실험이었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.04.02
  • 7487,7483 을 이용한 4자리 2진 감가산 회로 설계 (진보01기)
    설계 순서1) Quartus tool을 이용하여 진-보-0-1 기의 논리회로 설계2) 74H87과 74LS83 IC를 사용하여 4bit 가감산기 설계3) 가ㆍ감산기 Simulation ... 명 제- Quartus tool을 이용하여 74H87와 74LS83 IC를 사용하여 4자리 2진수를 가산과 함께 감산 할 수 있는 회로를 설계한다.2. ... 목 적1) 진-보-0-1 기의 동작을 이해한다2) Quartus tool을 이용하여 4자리 2진수의 가감산회로를 설계한다. 3.
    리포트 | 5페이지 | 2,500원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대