• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(780)
  • 리포트(753)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"4bit adder" 검색결과 121-140 / 780건

  • 시립대 전전설2 Velilog 결과리포트 4주차
    비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자.(4) 감산기 : 두 개의 input이 A와 B일 때, A-B는 A+(-B)와 같다. ... 작으면 alb가 1이 되는, 즉 두 입력의 값들의 관계를 나타내는 회로이다. 1 Bit 비교기와 4 Bits 비교기 모두 gate primitive모델링 방법을 사용하였다. 4비트 ... 목적배경 이론실험 장비시뮬레이션 결과와 실험 결과의 비교1Bit Subtractor4bit Subtractor1Bit Comparator4Bit Comparator코드 분석 및 고찰결론참고
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    4// os_COM은 8비트 출력이다.//5// oS_ENS는 7비트 출력이다.//6// 5비트 벡터 Sum 을 선언한다.//7// P9~P0를 선언한다.//10// adder_4bit ... _4bit X(Ain,Bin,Sum);//11//binary_to_BCD (Sum[3], Sum[2], Sum[1], Sum[0],//12//P9, P8, P7, P6, P5, P4 ... 라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 서강대학교 디지털논리회로실험 레포트 8주차
    SRLD, SRLF이 00이면 register의 내용이 보존된다.- SELSUM: 이 값이 high가 되면 adder인 ADD4의 출력(즉, HP[3:0]과 latch된 MCND[3 ... 그림 14는 우리에게 매우 익숙한 4-bit 이진수의 곱셈 과정을 보여준다. 4-bit 두 수의 곱의 결과는 8-bit로 저장된다. ... 그림 15는 이의 도식화이며 그림 15에서 각 block은 구현에 사용될 4-bit소자를 의미하며 필요에 또는 4-bit register 또는 4-bit shift register를
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    -전가산기(Full Adder) : 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 것이 가능한 논리회 로이다. ... 회로 입력이 변화 할 경우에만 출력 값이 변함으로 클록이 없는 메모리 소자를 사용한다.(4) 종류? ... -병렬 가감산기(Parallel Adder-Subtracter) : 여러 자리의 2진수를 더하고 빼기 위한 연산회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 가감산기 8bit addsub8 설계 베릴로그
    이제 full adder모듈을 순서에 의한 할당을 할 것이므로module fa ( A ,B ,Cin ,Cout ,S ) 변수들의 순서를 잘 기억해둠.adder - subtractor ... 디지털시스템설계 #3 Report2018. 5. 10 제출전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... A[4],B4,C3,C4,result[4]);fa U5(A[5],B5,C4,C5,result[5]);fa U6(A[6],B6,C5,C6,result[6]);fa U7(A[7],B7,
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    full adder1bit full adder pin설정5. ... 회로도는 1-bit full adder의 logic diagram이다. ... (입력: BUS SW, 출력: LED1~4)(1) Verilog HDL와 simulation비트연산자를 통한 4bit_x실제 핀LED 12LED 11LED 10LED 9[실습6]:
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 시립대 전전설2 Velilog 예비리포트 4주차
    비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자.(4) 감산기 : 두 개의 input이 A와 B일 때, A-B는 A+(-B)와 같다. ... 목적배경 이론실험 장비실험 전 과제반가산기,전가산기4비트 가산기XOR 게이트를 이용한 감산기4비트 감산기실험 전 응용 과제 preview1-bit Comparator4-bit Comparator참고 ... bit Comparator프로젝트 생성, 로직 설계 및 컴파일코드해석 : 4비트 비교기를 작성하기 위해 1비트 비교기 4개를 아래에 달아놓았다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로설계실험 3주차 Adder 설계
    아래에는 4bit의 입력인 A_4BIT와 B_BIT의 그래프와 그에 따른 4-bit adder의 출력 sum과 Cout의 그래프이다. ... 다음으로 구현한 1-bit full adder를 이용하여 4-bit adder를 설계한다. ... 이렇게 구현한 1-bit full adder를 이용하여 4-bit adder 또한 구현할 수 있었다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 (결과레포트와 예비레포트 동시에) 1주차 Lab01 TTL gates Lab on Breadboard
    LED의 동작 전압과 전류가 2V와 10mA 라면 5V에 연결할 때 필요한 저항 값은 (5-2)/10mA = 300 옴 이상의 저항이 필요함을 알 수 있다.(4) 1-bit 반가산기 ... (half adder)와 전가산기(full adder)에 대하여 논리회로도 및 동작을) 교안의 회로를 참고하여 breadboard에 회로를 구성한다.(2) TTL(7432 OR Gate ... - AND게이트와 OR, NOT게이트를 이용하여 표현한 회로.(1) XOR게이트 진리표ABX = A ⊕ B0000111011103) 반가산기 논리 회로- 반가산기: 두 개의 입력 비트
    리포트 | 23페이지 | 3,000원 | 등록일 2020.07.27
  • 디지털시스템설계실습_HW_WEEK9
    또, 4비트와 32비트의 citical path delay를 보면 4비트일 때는 6.672ns였는데, 32비트에서 7.416ns로 된 것을 보면 bit가 커질수록 critical path ... citical path delay를 계산해보는 시간이었다.파라미터의 숫자를 바꿔줌으로써 n-bit의 cla adder가 되는 것을 통해 간단하게 큰 비트adder가 생성되는 것을 ... • Discussion이번 실습은 N-bit CLA Adder를 만들고 RTL schemic와 Syntheis schemic를 비교하고 각각의 n-bit cla adder
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.11
  • Term_Project_보고서_1조
    일의자리 연산 시 7404(Inverter)를 거쳐서 나온 일의자리 값들을 4bit BCD adder와 같이 74283(FULL ADDER)으로 넣고 overflow를 잡기 위하여 ... 4bit BCD adder와 같이 74283(FULL ADDER)으로 넣고 overflow를 잡기 위하여 7408(and gate)와 7432(or gate)를 사용하여 2번째 74283 ... 이를 충족시키기 위해 DIP 스위치와 7segment를 이용하여 입력과 출력을 모두 10진수로 동일하게 하였고 입력에는 십의자리에서는 4bit, 일의자리에는 10bit DIP 스위치를
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    bit full adder & subtracter4비트 가/감산기(4 bit full adder & subtracter)는 연산을 위한 4개의 Full adder와가산/감산 모드를 ... 여기서 변수 M의 상태에 따라M:0 -> s=x+yM:1 -> s=x-y 가 된다.이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.설계한 4 bit 가/감산기를 Xilinx에 ... +7까지이며0은 +부호를, 1은 -부호를 나타낸다.2) Full adder전가산기(Full adder)는 기본적으로 1비트의 2진수 3개를 더하는 논리회로이며 3개의입력과 2개의
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 디지털전자회로 2021 퀴즈5 해답
    필요한 half/full carry save adder와 몇 bit의 carry propagation adder가 필요한 지구하시오. [4]2. ... (b)로 단순화 될 수 있다. 6-bit x 6-bit unsigned Radix-4 Booth encoding multiplier에 대하여 partial product를 (a)의 ... Unsigned Radix-4 booth encoding multiplier에 대한 문제를 푸시오. [8]1) Partial product의 sign extension이 (a)에서
    시험자료 | 7페이지 | 2,500원 | 등록일 2022.11.07
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설계및실험
    여기서 Sum은 두 Bit를 합한 것을 의미하고, Carry는 상위 비트로 올라갈 때의 자리를 올려주는 수를 의미한다. 표 1를 보면 반가산기의 진리표를 확인할 수 있다. ... 진리표의 Cout과 Sum의 결과를 확인하여 그림 4와 같은 전가산기의 회로를 만들 수 있다. ... Half Adder(반가산기) 반가산기는 2진수 한자리를 덧셈하여서 Carry값과 Sum의 결과를 출력한다.
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • 서강대학교 디지털논리회로실험 5주차 결과보고서
    또한 FPGA에 내장 되어있는 소자인 COMPM4를 이용해 그 기능을 확인한다. Half-adder를 구현해보고 ISE를 이용한 symbol library의 생성해본다. ... 배경이론 및 실험방법비교회로(Comparator)는 두 binary 수의 비교를 통해 판단하는 회로이다.Adder(가산회로)는 두 개의 1-bit를 더해 2-bit의 합을 출력한다. ... 2-bit으로 구성된 출력 중 lower-order bit를 sum(S)이라 하고 high-order bit를 carry out(CO)이라고 한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.02
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    방법으로 각각 설계하시오.a. 1비트 반가산기의 module instantiation (half adder와 같은 프로젝트 내에서 full_adder 파일을 생성하여 설계함. ... (Bus SW5~8) / 합은 S(LED2~5) / 올림수는 C(LED1)A[3:0]B[3:0]S[3:0]C11100011000110111110000111(4) [응용과제] four-bit ... Adder의 동작을 확인하는 모습 (좌측에서부터 차례로 입력 a[3:0]b[3:0]의 값이 1110 0011, 0111 1100)- 실험 결과: 입력은 A(Bus SW1~4), B
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 전전설2 실험2 예비보고서
    full adder 의 구조에 대하여 조사하시오.1-bit full adder를 4개 연결한 구조이다. ... [응용과제]: 위에서 설계한 1-bit Full Adder를 symbol 로 이용하여 4-bit Ripple Carry Full Adder를 schematic 설계하시오.교안에 나와있는대로 ... full adder를 심볼로 만들어 4-bit ripple carry full adder를 설계한다. full adder를 심볼로 만들 때 half_adder.csh 도 추가해줘야
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 서울시립대 전전설2 Lab-04 결과리포트 (2020 최신)
    ‘lab4_full_adder’라는 이름의 project를 만든다.2. new source로 verilog module file ‘half_adder.v’ 파일을 만들어 1-bit ... behavioral modeling을 통한 four-bit adder 설계(always, if문 사용)1. lab4_fourbit_adder 모듈을 always, if문을 포함한 behavioral ... 토의In lab-04 시간 처음에 assign문 한 줄로 어떻게 carry와 sum이 한 번에 나타나는 4-bit adder를 만들 수 있을지 고민을 많이 했다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • [건국대학교 논리회로 A+][2024 Ver] 9주차
    실습 B-2 Adder4 가산기, Adder4_Bus 가산기, Adder 라이브러리 모듈 가산기의 출력이 모두 동일함을 확인할 수 있다. 5. ... 버스를 이용하여 4비트 및 8비트 병렬 가산기를 만들 때 원래는 버스 순서를 3210으로 해야 하지만, 지속적으로 나도 모르게 0123으로 설정해서 출력 오류가 발생하였다. ... 실습 B-1 0000 0010 1 0011 0 0111 1110 0 0101 1 0011 1100 1 0000 1 1111 1111 1 1111 1 4.
    리포트 | 12페이지 | 5,000원 | 등록일 2024.08.14 | 수정일 2024.08.20
  • 연세대학교 기초디지털실험 2주차 예비레포트 (basic of verilog)
    For example, 4-bit adder can be implemented by adding 4 FAs together. Its diagram is shown pic5. ... by :Full adder can hold a carry bit from previous operation result, so binary adder can be implemented ... The logic gate and the truth table of full adder follows pic3 and pic4, respectively and can be represented
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.18 | 수정일 2023.01.07
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대