• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,187)
  • 리포트(4,743)
  • 시험자료(277)
  • 방송통신대(110)
  • 논문(32)
  • 자기소개서(22)
  • 서식(3)

"4bits 비교기" 검색결과 121-140 / 5,187건

  • 광전자공학 5주차 보고서_PCLK (pixel clock)과 Raw image
    기본적인 원리는 명암 레벨을 표현하는 데 있어, 내부적으로 더 많은 Bit수를 사용하여 밝기를 세밀하게 표현하는 데에 있다.HDRI는 High dynamic range imaging의 ... 따라서 Scan할 때 필요한 Pixel clock의 진동수는 다음과 같다.4K 이미지를 rgb24로 저장할 때와 rgb565로 저장할 때의 데이터 용량 비교. ... JPEG와 비교해서 PNG는 이미지안에 비슷한 색이 넓게 사용될 때 유리하다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.03.08
  • 시스템 프로그래밍 3장 연습문제 와 16비트32비트 차이점
    1) 명령어 코드의 종류 64개2) 주소 지정 방식 8개3) 레지스터 16개4) 데이터 크기 코드 3개26 + 2 3 + 2 4 + 2 2 = 2 15 총 15개의 비트가 필요하다3.6 ... 레지스터의 크기에 따라 데이터를 읽어오는 횟수와 연산 방법, 저장 방법이 달라져야 하기 때문이다.레지스터의 크기가 16비트일 때 데이터의 크기가 8비트라고 하더라도 1사이클에 읽어오게 ... 이때 실제 물리 주소 번지는 어디인가 구하시요.4비트 쉬프트 되기 때문에 1B000h + 00FFh가 실제 주소가 되므로 1B0FFh가 된다.3.3 8비트 데이터가 다음과 같이 저장되어
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.03
  • A/D Converter 와 D/A Converter 디지털 회로실험 보고서
    그리고 함수발생기로부터 1Hz를 74LS191 CLK에 주며, CLK과 2진 정보 출력에 LED와 저항330 OMEGA을 연결한다.실험2) 4비트 계수형 A/D 변환기- 그림과 같이 ... 회로를 구성하는데 이는 D/A 변환기와 제어로직, 비교기, 2진 카운터 등으로 이루어져있다.계수형 A/D 변환기는 변환속도가 상대적으로 느려서 응답 속도가 빠르지 않은 회로에 주로 ... 저항으로 연결해야 하는데 이 부분에서 착오가 생겨서 시간이 지연되었지만 전선 연결이 헷갈렸던 부분을 하나하나 체크하여, 원인을 찾아서 올바른 결과값을 도출할 수 있었다.실험 2) 4비트
    리포트 | 6페이지 | 2,500원 | 등록일 2024.05.17
  • BPSK 이론 정리
    이전 비트와 현재 비트 간을 비교하여 같으면 0, 다르면 1 을 적용한다. BPSK 와의 차이점은 절대 위상 기준을 사용하지 않는다는 접이다. ... 따라서 수신기에서는 인접 비트 간 위상차만 알면 복조가 가능하므로 절대 위상 정보가 필요하지 않다. ... PSK 신호의 일반적인 표현식은 다음과 같다.PSK 방식은 반송파 위상 변화 상태 수에 따라 2진, BPSK 와 m진, MPSK 와 4진,QPSK로 나뉜다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.10.05
  • DES알고리즘의 원리와 장단점
    떼어 이를 합쳐 2비트의 숫자로 만들고, 가운데 4비트를 따로 뗀다. ... 알고리즘으로 56비트 크기의 암호화키로 암호화된다. ... 암호화 방식의 사용비교비밀키 암호화공개키 암호화키개수한 개의 키를 사용
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.23 | 수정일 2021.06.26
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    실험 결과 및 예상 결과와의 비교 분석1) 3-bit arithmetic comparator 설계두 3비트 2진수 A, B의 크기를 비교하는 회로를 [그림 1]과 같이 설계하였다. ... 따라서 입력의 비트수가 많은 경 우 빠른 연산을 위해서는 모든 carry를 한꺼번에 계산하는 carry-lookahead adder로 가산기를 설계하 는 것이 바람직하다. ... 실험 결과는 [표 2]와 같다.4-C) 3-bit ripple-carry adder를 VHDL로 구현VHDL코드 및 시뮬레이션 결과는 다음과 같다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 씨샵 프로그래밍 연산자 실습 예제
    =, =, =) 관계 연산자는 두 피연산자의 관계를 알아보는 연산자인데 , 크기를 비교하는 연산자 , 두 피연산자의 값이 서로 같거나 다른지 확인하는 연산자가 있습니다 . ... 두 정수를 입력받아서 연산자로 비교하기 ( 관계연산자 =, ! ... 두 정수를 입력받아서 연산자로 비교하기 ( 관계연산자 =, !
    시험자료 | 21페이지 | 2,000원 | 등록일 2021.11.21
  • 멀티미디어 '사운드 데이터 관련 문제 풀이2' 리포트
    유사도가 어느 정도 이하이면 차이 값이 큰 것이기 때문에 그 사람 목소리로 인정하지 않는 그런 형태의 판단 기준을 만들어서 판단합니다.신원 확인●판단 논리 하에 신원 확인을 합니다.4. ... 요소를 추출합니다.패턴 작성●추출한 요소를 가지고 그 음성 신호의 특성 패턴을 만듭니다.시험 과정●기존에 이미 학습이 돼서 데이터베이스에 들어가 있는 것과 새로 들어온 음성 신호를 비교하여 ... 이 10개의 비트 중 2개의 비트는 start와 stop 비트로써 전송 시의 동기화를 위해 사용되고, 나머지 8 비트는 순수 데이터 비트입니다.
    리포트 | 3페이지 | 4,000원 | 등록일 2022.11.15
  • 학점A+받는 영남이공대학 전자계열 마이크로컴퓨터 [Comparator Modules]
    16비트로 구성된 타이머 또는 카운터로 이루어진 모듈입니다. up카운터.- 16bit 타이머 또는 카운터인 register로 구성되어 있습니다.TMR1H와 TMR1L - (각각 8bit씩 ... 또한 옵션레지스터(0~2bit)에 의해 제어되며 클락소자를 조절할 수 있는 1:2~ 1:256 비율로 8개의 Prescaler상태가 있습니다.비율의 크기가 클수록 클락속도는 늦어집니다 ... 이번시간을 공부하면서 UTC가 세계시간이고, KST는 대한민국표준시라는 것을 배웠습니다.TMR1은 TMR0와 비교해보니 달리 bit수가 늘고 내부발진회로도 있고 postscaler도
    리포트 | 14페이지 | 3,000원 | 등록일 2020.11.01
  • 시스템프로그래밍 학점은행제 과제
    로버트노이스와 고든무어가 설립한 미국의 반도체 제조기업이며,CPU인 마이크로 프로세서를 세계최초로 개발한 기업1971년 최초의 4비트 마이크로 프로세서인 인텔4004출시, 인텔의 ... 판단해서 사용해야 한다.레지스터의 종류와 역할 –프로그램 카운터 - 다음번에 실행할 명령어의 번지를 기억하는 레지스터명령 레지스터 - 현재 실행중인 명령의 내용을 기억하는 레지스터누산기 ... 서브루틴 연결 및 프로그램에서의 반복 연산의 횟수를 세는 레지스터데이터 레지스터 - 연산에 사용될 데이터를 기억하는 레지스터시프트 레지스터 - 저장된 값을 왼쪽 또는 오른쪽으로 1Bit
    리포트 | 4페이지 | 1,000원 | 등록일 2020.02.01
  • 결과보고서5_디지털통신2_허프만 부호, 블록부호화
    이것은 발생기 행렬을 만드는 기본 과정에 해당한다.2번 과정 후메시지 비트를 생성하는 과정으로 0000부터 1111까지 4비트로 표현 가능한 모든 메시지 벡터를 발생시켰다.3번 과정 ... 후메시지 벡터와 발생기 행렬을 곱해서 발생 가능한 모든 부호어를 만들었다. 1열에서 4열은 메시지 벡터고, 5열에서 7열은 블록코딩으로 생성된 새로운 벡터다. ... 확률이 낮다면 많은 비트를, 높다면 적은 비트가 할당된다.4번 과정 후b에서 만든 0과 1의 조합 중 미리 제작했던 이진 트리의 인덱스에 맞는 성분을 추출해서 hcode를 정한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2021.09.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    .- 1993년에 보완되었고, 주로 학계에서 널리 사용된다.(2) Verilog 모델링 예시- 1-bit 반가산기 모델링 예 (Bit operator 사용)- 1-bit 반가산기 모델링 ... 수 없는 값)(3비트 2진수 01x)d. 4’h4- 4(4비트 16진수 4)(4) Verilog에서 wire 형과 reg 형의 차이점을 조사하시오.a. wire형- net 자료형으로 ... 표현했을 때 어떻게 나타나는지 조사하시오.a. 4’b1001- 9(4비트 2진수 1001)b. 5’D3- 3(5비트 10진수 3)c. 3’b01x- 2 or 3(마지막 비트는 알
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 전자공학응용실험 - 아날로그-디지털변환기 결과레포트
    고찰사항:(1) 아날로그-디지털 변환기의 DNL과 INL이 발생하는 원인을 분석하고, 개선 방법을 설명하시오.-> DNL이 발생하는 이유는 1bit의 width가 지나고 나서 다음 ... 아날로그-디지털 변환기2. ... 첫 번째 실험과 같이 이번 실험에서의 파형이 제대로 안 나오는 오차는 회로구성을 잘못해서 생기는 문제임을 알 수 있었다.4.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.12.20
  • 컴퓨터를 이용한 전염병 확산 시뮬레이션
    결론 및 제언4.1 결론4.1.1 실제 통계와 비교통계청에서 발표한 감염병의 전파 속도를 나타낸 그래프이다. ... 정수형과 실수형의 크기와 범위III. ... 보통 8비트 CPU는 16비트의 처리 단위를 가지며, 32비트 CPU 이면 32비트의 처리 단위를 갖는 것이 일반적인 정수형 처리이다. int을 사용하면 ?
    리포트 | 20페이지 | 20,000원 | 등록일 2023.07.17
  • 디지틀 논리회로 실험6 가산기와 감산기
    2 진 4bit 전감산기와 전가산기결과분석 및 결론 먼저 반가산기와 전가산기를 구성해보았고 반감산기와 전가산기 그리고 2bit 병렬 2 진 가산기 회로까지 회로를 잘 구성하였다 . ... 회로를 사용해 2bit 병렬 2 진 가산기 회로를 구성한다 . 7483,7486 회로를 사용해 2 의 보수를 이용한 2 진 4bit 전감산기와 전가산기를 구성한다 .입력 A,B 에 ... 근데 2 의 보수를 이용한 2 진 4bit 전가산기와 전감산기 회로는 7483 의 IC 부터 흔히 쓰던 Gate 구성과 달라 책 맨 뒤에 부록을 보면서 하나하나 맞춰 갔음에도 불구하고
    리포트 | 13페이지 | 2,000원 | 등록일 2019.10.03 | 수정일 2021.10.17
  • 정보이론, 알고리즘 조사
    따라서 GIF와 비교하여 16bit의 색상을 표현할 수 있고 압축률 또한 높다. ... 사람의 눈은 밝기의 차이에는 민감하지만, 색상의 차이에는 상대적으로 둔감하다는 점을 이용하여 밝기는 그대로 두고 색상은 인접한 두 픽셀의 평균값을 사용하여 사이즈를 줄일 수 있다. ... JPEG의 경우 색상을 나타내는 부분과 밝기를 나타내는 부분을 나눈다는 점이 특징이다.
    리포트 | 4페이지 | 3,000원 | 등록일 2022.06.08
  • 데이터통신과 네트워킹22장 연습문제 과제 홀수번 포함
    길이 = 7N=2 ^{121}7.표22.1로부터 글로벌 유니캐스트 블록의 크기를 구하시오.prefix의 길이 = 3N=2 ^{125}9.IPv4와 비교했을 때 IPv6의 장점을 설명하시오 ... 매핑된 주소는 0의 80비트에 이어 1의 16비트가 나오고 IPv4 주소의 32비트가 나오는 주소다. ... 이어 IPv4 주소의 32비트를 가진 주소다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.18
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서8
    직렬 입력 병력 출력 / 병렬 입력 직렬 출력 예시4bit 직렬 입력 병력 출력 시프트 레지스터4bit 병렬 입력 직렬 출력 시프트 레지스터데이터는 위의 직렬입력 직렬출력 (SISO ... 직렬-병렬 정보 변환기 : 직렬 형태의 정보는 한 번에 한 비트씩 하단 레지스터에 입력으로 전달되고 한 비트씩 전송된 정보가 모두 전송되어서 레지스터에 저장된 후 각 stage에 있는 ... 병렬-직렬 정보 변환기 : 정보가 병렬 형태로 모든 stage에 입력되고 마지막 stage로 정보를 한 비트씩 이동시켜 직렬로 읽을 수 있다.?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    더해준 후에 생긴 캐리는 다음 4비트 그룹에 더해준다.여기서 중요한 것은 4-비트 그룹으로 쪼개서 각각의 경우에 대해 위의 규칙을 대입해야 한다는 것이다.3. ... BCD(Binary-Coded-Decimal code) 구현 방법BCD 코드는 10진수의 각 비트를 4비트의 2진수로 나타낸다.1010, 1011, 1100, 1101, 1110과 ... 전자계산기 구조과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정1.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 서울시립대 전전설2 Lab-04 결과리포트 (2020 최신)
    실험목적Verilog HDL을 사용해 비교기 등의 Combinational logic을 설계하고 테스트벤치 파일을 작성하여 combo box를 통해 동작을 실험한다. ... 토의In lab-04 시간 처음에 assign문 한 줄로 어떻게 carry와 sum이 한 번에 나타나는 4-bit adder를 만들 수 있을지 고민을 많이 했다. ... ‘lab4_full_adder’라는 이름의 project를 만든다.2. new source로 verilog module file ‘half_adder.v’ 파일을 만들어 1-bit
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대