• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,846)
  • 리포트(5,659)
  • 시험자료(112)
  • 자기소개서(32)
  • 논문(18)
  • 방송통신대(16)
  • 서식(5)
  • 이력서(2)
  • ppt테마(2)

"7-segment" 검색결과 121-140 / 5,846건

  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가 ... 있으며 이를 통해 아라비아 숫자를 표시할 수 있고 몇몇 개의 알파벳 또한 표현 할 수 있어 16진수까지 표현이 가능하다.16진법 7 segment 표기- 7 segment는 A형과 ... 여기서 sum의 값이 12비트이temp의 값들을 각 대응하는 segment의 값들에 입력시켜 출력 값을 갖게 하였다.schematic- 최종적인 bcd to 7segment adder를
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 예비 리포트
    그 후에 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계했다.서론: 7-segment LED는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 ... 그 후에 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계했다. ... 이번 보고서에서는 7-segment/Decoder의 진리표를 학습하고 불리언 식을 구해 7-segment/Decoder회로를 설계하는 실험을 했다.실험결과:7-segment/Decoder
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)10
    7-segment LED는 3, 8번 pin은 공통(common) 핀으로 사용되며 common Cathode type의 경우 공통 핀은 아래의 회로와 같이 접지(GND)로 연결하여 ... type이라면, 7-Segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가? ... 사용하면 된다.2. 74LS47 Decoder의 출력과 7-Segment LED의 입력 사이에 저항을 연결하는 이유는 무엇인가?
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.14
  • [예비레포트] M3 숫자표시기(7-SEGMENT LED) 응용
    실험제목M3 숫자표시기(7-SEGMENT LED) 응용2. ... 일반적인 7-SEGMENT 가 나타낼 수 있는 모양 개수는 18개 이다.4. ... 00010110000200101101101300111111001401000110011501011011011601101011111701111110000810001111111910011111011(2) Code (비트연산자로 구현)void setup
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.23
  • 랩뷰를 활용한 7세그먼트 설게/랩뷰기초/lab view/7 segment/랩뷰코딩 설계/7세그먼트 설계/
    코딩설명1) 불리언을 사용한 7 segment불리언을 사용하여 7 segment의 모양을 디자인한다. 7 segment의 깔끔한 느낌을 위해 클래식 불리언의 ‘사각 빛’을 사용하였고 ... Nano-lap 강의를 수강하면서, 랩뷰를 사용하여 어떤 프로그램을 구현할 수 있을지 고민해보던 중 마지막 8 주차 강의에서 While loop 와 Case structure를 사용하는 ... 사진은 처음 코딩하면서 디자인했던, 7 segment의 모습이다. 꺼진 LED의 모습이 눈에 잘 보여서 내가 생각했던 7 segment의 느낌이 아니었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.26 | 수정일 2021.01.22
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    (선택사항) 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과실험에서 사용된 코드는 아래와 같습니다..//1// module segment(Ain, Bin, ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... ;//14// BCD_to_7segment second(P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2);//15// SevenSeg_CTRL(nClk,
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 중앙대학교 아날로그및디지털회로 예비보고서10
    설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... -3 7-Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.Pspice 프로그램을 사용한 회로는 다음과 같다. ... -2 불리언식 구하기Karnaugh 맵을 이용하여 간소화된 Sum of product 또는 Product of sum 형태의 불리언 식을 구한다.ABCD00011110000001010110111110101100a
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.06
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... Dynamic 7-segment 컨트롤러 설계Dynamic-7segment는 제어되는 7-segement의 숫자가 늘어나서 동시에 늘어나는 I/O를 아끼기 위해 사용하는 데이터라인을 ... 각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다.1. 7-segment decoder 설계7-segment 설계에서는 하나의 segment LED에 0에서 9까지
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기 위하여 signal을 ... .)--3번 분주하여서 사용하였습니다. (3번 분주 시 1Hz, 주기가 1초인 클럭 사용 가능.)-- 7-segment 와 BCD code를 서로 맞게 입력 하였습니다 ... ■-teamproject.vhd--교수님께서 첨부해주신 Top Module의 entity와내부의 프로그램을 구현함에 있어서 필요한 signal을 정의하였습니다.--7 segment
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    _{ 1I _{ 0=11[그림 8]3) 7-segment decoder의 동작 확인A. 74LS47을 이용하여 7-segment decoder의 동작 확인74LS47을 이용하여 [ ... [그림 11]에 입력에 따른 7-segment 출력을 나타내었다. ... 이때, 퀴즈 3번 문제에서는 common cathode 방식의 7-segment를 가정하였으나 실험 키트의 7-segment는 common anode 방식이므로 퀴즈 문제의 진리표를
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 마이크로프로세서 과제
    segment에 표시BSF PORTA, 3 ;전에껄 꺼줌BSF PORTA, 2BSF PORTB, 2BCF PORTB, 1MOVF D_1SEC, 0MOVWF TEMPCALL CONVMOVWF ... segment에 표시BSF PORTA, 3 ;전에껄 꺼줘야함BSF PORTA, 2BCF PORTB, 2BSF PORTB, 1MOVF D_10SEC, 0MOVWF TEMPCALL CONVMOVWF ... 를 설정하여 00이면 처음 01이면 다음, DISP는 프로그램에 들어올 때마다 1씩 증가GOTO DISP2DISP1INCF DISP_CNT, 1 ;D_1SEC 변수 내용이 DG4 7-
    리포트 | 6페이지 | 1,000원 | 등록일 2020.05.22
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    이것은 또한 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드 화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.7-세그먼트 표시기(seven segment indicator ... 실험 목적인 부호변환 회로의 설계방법과 7 -segment 의 사용법을 익히는 것도 인지하고 실험에 임해야겠다. PAGE \* MERGEFORMAT 2 ... 예비 보고서인코더와 디코더 회로실험 목적Encoder와 Decorder의 기능을 익힌다.부호변환 회로의 설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.이론상태
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion- Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... Dynamic 7-Segment (FND array)- Static 7-Segment의 구조를 제어하고, 4개의 7-Segment 중 어느 곳에 나타나게 할 것인지를 결정하는 방법으로
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • D Flip-Flop을 활용한 십진 감가산기
    Edge D Flip-flop = 입력저장계산 - 1차 가,감산-> 가산 예외처리(sum>9 : +0110) , 감산 예외처리(sub 7447 BCD to 7segment프로젝트 ... 가산계산은 가산스위치를 올린 다음 CLK의 Positive Edge와 Negative Edge 에 따라 입력을 받아 두 수의 합이 7segment display() 형태로 일의 자리부터 ... 이러한 회로를 구성하여 계산 결과값이 하나의 7segment display()로 모두 표현되며 2자리수 이상의 수를 계산 할 수 있게 한다..설계 요구 사항 분석대분류 : 입력 모듈
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    After understanding the theoretical backgrounds, we will implement 7-segment controller and ALU by using ... Abstract There are two main types of logic circuits: combinational logic circuits and sequential logic ... circuits.
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    메카트로닉스 및 실습실습보고서[BCD 스위치의 값을 7-세그먼트에 표기하기]■과목명:메카트로닉스 및 실습■담당교수:■제출일:■학과:■학번:■성명:1.실험 개요- 실험 목적7-segments를 ... 한 자리에 해당하는 4비트나 두 자리에 해당하는 8비트를 입력받아 이를 해석하여 적절한 모습으로 표시해 주는 장치도 존재합니다.- 7-segment 동작 원리7-Segment란 Bar형태로 ... segments 실험을 진행하여 결과적으로 로터리 형태의 BCD 장치를 통해 7-세그먼트에 원하는 숫자 결과 값을 출력할 수 있었습니다.실험 과정에서 집적회로(IC)를 7447이 아닌
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    연결 BCD 카운터 출력 4bit 을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment 에 연결한다. ... 이때 Decoder 와 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder 의 출력 방식과 7-segment LED 의 type 간의 매칭에 유의한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 논리회로설계실험 BCD가산기 레포트
    segment codeBCD to 7segBCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다(5행).output으로 7bit의 수를 출력한다(6행 ... 이번 설계과제에서는 Common-anode type 7-segment를 이용하여 과제를 진행하였다. ... 그 결과 입력된 두 수를 더해줄 BCD Adder 코드, BCD를 7 segment로 나타낼 7 segment 디코더, 그리고 BCD Adder의 계산 결과를 7 segment로 전해줄
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 충북대 디지털시스템설계 결과보고서5
    해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다.1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. 4개의 ... 그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다.7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다. ... 이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... power supply실험 재료저항7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 741517-segment실험 방법비교기비교기의
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:01 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대