• 통큰쿠폰이벤트-통합
  • 통합검색(14,153)
  • 리포트(12,897)
  • 시험자료(791)
  • 방송통신대(224)
  • 자기소개서(118)
  • 논문(76)
  • 서식(28)
  • ppt테마(8)
  • 노하우(8)
  • 이력서(2)
  • 기업보고서(1)

"8bit" 검색결과 121-140 / 14,153건

  • 컴퓨터구조론 3장 연습문제 풀이 (개정5판, 생능출판, 김종현)
    3.1(1) 19과정: 부호화 크기는 맨 좌측 비트가 부호비트이고, 나머지 비트들은 수의 크기를 나타낸다.양수에 대하여 1의 보수와 2의 보수로 표현한 결과는 같다.19를 8-비트 ... 보수: 0001 00112의 보수: 0001 0011(2) -19과정: -19이므로 8-비트 길이의 부호화-크기는 맨 좌측비트에 1을 넣어 1001 0011, 1의 보수는 19의 ... 2진법으로 나타낸 모든 비트들을 반전하면 되므로 1110 1100, 2의 보수는 1의 보수 결과값에 1을 더하면 되므로 1110 1101이다.답:8-비트 길이의 부호화 크기: 1001
    리포트 | 13페이지 | 3,000원 | 등록일 2021.04.29
  • 디지털 회로 실험-가산기와 감산기
    그림은 전감산기에서 수행되는 8가지의 뺄셈 계산과 진리표, 회로, 논리기호이다. ... 표를 작성하시오.실험순서4.전감산기회로의 입력(An, Bn, bn-1)에 해당신호(1 또는 0)를 넣었을 때 나오는 출력(bn, dn)을 예상해서 표를 작성하시오.실험순서5. 2bit ... 실험5는 2bit 병렬가산기 회로로 입력 A1, A0, B1, B0에 따른 출력 S1, S0, C1의 결과를 보면 입력 A1, A0, B1, B0이 모두 0이면 출력 S1, S0,
    리포트 | 18페이지 | 2,000원 | 등록일 2022.09.10
  • 디지털시스템실험 3주차 예비보고서
    그러나, 3-to-8 디코더는 3비트 코드를 해독하여 코드의 각 구성 성분마다 하나씩, 전부 8개의 출력을 제공하는데 사용할 수 있다.② Binary-to-BCD Converter표2과 ... 컴파일이 완료 되면, 시뮬레이션으로 올바른 결과가 나오는지 검증한다.■ Decoder를 이용한 4 bit Binary-to-BCD Convertor 구현1. 4bit binary to ... 디코더는 입력 선에 나타나는 n비트의 2진 코드를 최대 2ⁿ개의 서로 다른 정보로 바꿔 주는 조합 회로이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    카운팅이 제대로 수행되는 것을 확인했다.이제 두번째 문제인 16 8bit register file을 살펴보자. 16개의 칸에 8bit의 값을 입력 받는다. ... 바로 아래 라인을 살펴보면 reg[W-1:0]reg_file[N-1:0] 에서 앞의 [W-1:0]은 W가 8이므로 총 8비트의 데이터 값을 갖는다는 의미이고, 포트이름 뒤의 [N-1 ... 즉, 구현한 모듈에서는 8비트값을 가지는 16개의 element를 구현한 것 이다. 출력 값 douta, doutb는 각 element에 저장된 값으로 assign 했다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    = 8’b1100,0000Binary = 8’b1000,0001Binary = 8’b1000,0000(6) Results of Lab 64-bit up-down counter의 출력 ... 1HzReset 버튼 0으로 초기화- 출력witch : 1~8 (도레미파솔라시도)- OutputPiezo 1bit7 Segment- Operation1~8의 각각의 버튼에 도레미파솔라시도의 ... bcd 입력을 받아서 single FND 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈을 디자인하시오.- Input[3:0] bcd 0000 ~ 1001
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    {Cin, S1, S0, A, B}의 입력을 통해 8가지의 산술 연산을 수행한다.- Arithmetic Circuit은 다음과 같은 회로 구성을 통해 구현할 수 있다.A-2 Logic ... 입력에 따라 4bit의 연산 결과를 출력하는 회로를 구현한다.3. ... Circuit- Logic Circuit은 입력 A,B에 대해 {S1,S0}의 Selection Bit에 따라 AND, OR, XOR, NOT의 논리 연산을 수행하는 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다.(음수를 표현한다면 7번 shift 해야한다.) ... 실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 결과보고서(3) Shift Register 시프트레지스터
    다시 0으로 되돌렸더니,Q _{0} 결과값이CLK=1일 때, 1로 나왔으며(실험 사진에서, 노란색 선으로 연결한 D에 해당하는 '8'이 표시되었음.) ... 예비보고서에 기술한 이론에 의하면, 클럭 펄스가CLK가 들어올 때마다 데이터가 오른쪽으로 한 번씩 이동하게 되고, 데이터가 일단 저장되면 각 비트는 직렬 출력에서와 같이 한 비트씩 ... 출력되는 것이 아니고, 모든 비트들이 동시에 출력선에 나타난다.실험 결과,Din을 1로 하여 첫 번째D 입력에 1이 들어가도록 하고CLK에 클럭 펄스를 한 번 트리거 시킨 후Din을
    리포트 | 5페이지 | 2,000원 | 등록일 2020.10.14
  • f(a, b, c)는 m(2, 4, 6, 7) 의 진리표를 작성하고, A, B 그리고 B, C를 각각 선택선으로 했을 때, 4 x 1 멀티플렉서(Multiplexer) 블록도를 설계하여 도시하시오.
    이로써 모듈의 용량은 ‘4K x 8비트’가 되고 각 RAM마다 주소영역이 지정된다. ... AB (∵ A'+A = B+B' = C+C' = 1)∴ F = BC' + AC' + AB4) 논리회로(블록도) 2. 2번 문제의 해결Q. 4K ROM 1개와 1K RAM 사용하여 8비트 ... 92~101p6) 김창환 외 2명, 컴퓨터 구조 제4판(복두출판사, 2020), 135-153p.7) e그린원격평생교육원, 전자계산기 구조 학습자 교안(2021), 92~101p8)
    리포트 | 5페이지 | 10,000원 | 등록일 2022.01.21
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자. ... 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. 시뮬레이션을 통해 올바르게 코딩을 했는지 확인한다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    그리고 곱셈의 결과는 5bit에서 8bit까지 4번의 동작으로 결정된다.위의 그림 (a)에서 빨간색 박스를 HP라고 하고, 처음에는 multiplier를 저장하다가 곱셈결과의 아래쪽 ... Shift register를 이용한 multiplier 설계이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... 디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다.
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    왼쪽으로 shift 되었을 때 값이 5 이상일 면 add3를 해준다.3. 1번을 반복한다.4. binary 가 8 bit 로 이루어져 있다면 8번 shift 해야 한다.3. ... 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건code(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부 및 변수를 가지는 모듈설계 ... 두 번째 모듈 - 버튼마다 FND에 숫자를 출력하는 코드code(3) 실습30000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single FND
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 컴퓨터구조 기말고사 족보,정리. 컴퓨터시스템구조 기말고사 족보,정리.
    기억장치의 용량 확장을 위한 방법으로 2Kx8bit RAM으로 8Kx8bit기억장치로 만든 회로와 1Kx8bit RAM으로 1Kx16bit기억장치로 만든 회로를 각각 설계하시오.7. ... 8비트 CPU를 이용하여 1Kx8비트 ROM과 512x8비트 4개로 구성된 1Kx8비트 RAM으로 구성된 컴퓨터를 설계하시오. ... 전가산기의 구조와 진리표를 작성하고, 4-비트 병렬 가산기와 상태 비트 제어회로 설계하시오.4-비트 병렬 가산기와 상태 비트 제어 회로3.
    시험자료 | 7페이지 | 1,500원 | 등록일 2021.03.30
  • 컴퓨터 구조와 운영체제 과제
    Per Second (초당 1메가 비트를 전송)Kbps = Kilo Bit Per Second (초당 1킬로 비트를 전송)MB/s = Mega Byte Per Second (초당 ... LAN / UEFICPU인텔 코어i7-7세대 7700인텔(소켓1151) / 14nm / 쿼드(4)코어 / 쓰레드 8개 / 기본 클럭: 3.6GHz / 8MB / 64비트 / 설계전력 ... 1메가 바이트를 전송)KB/s = Kilo Byte Per Second (초당 1킬로 바이트를 전송)bps = Bit Per Second (초당 1비트를 전송)cps = Charactor
    리포트 | 5페이지 | 3,000원 | 등록일 2021.09.24
  • (디지털 회로실험)8421 Encoder의 논리회로 설계
    그를 위해 우리가 사용하는 10진수를 2진수의 형태로 바꿔줄 필요성이 있는 것이다. 2진수의 한 자리를 비트(bit)라고 한다. ... 그럼 3비트의 2진수는 2 x 2 x 2 = 8 가지의 정보 표현이 가능할 것이다. ... 종류는 2 x 1 인코더, 4 x 2 인코더, 8 x 3 인코더 등이 있다.[10진 BCD ENCODER ?
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit ... 구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증실험결과1. 8bits binary to BCDmodule bintobcd(in,w2,w1,w0);input [7
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 2018 컴퓨터 구조 과제 1 (chapter 1,2,3)- 단국대학교 소프트웨어학과
    - IBR(Instruction Buffer Register):메모리에서 단어의 오른쪽 명령을 일시적으로 저장하는데 사용- IR(Instruction Register):실행 중인 8비트 ... 8. ... Indicate the width(in bits) of each connection(data/address path) between components(registers, AL circuits
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.22
  • 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    개수를 2진수의 형태로 바꾸어 출력해주는 것이다.이를 활용하면 밑의 4-bit adder와 같이 큰 비트의 연산도 가능하다. 1-bit full adder 4-bit full adder ... LED 데이터 시트(5) 반가산기AND와 XOR을 활용해 1비트 가산이 가능한 형태이다.하지만, 이 반가산기 모델은 올림(전가산기의 Cin 부분)의 연산이 불가능해서 대수의 연산이 ... 회로(combinational logic) 및 순차 회로(sequential logic) HYPERLINK \l "주석8"[8]위 두가지에 대한 큰 차이는 현재 입력 값 외에 다른
    리포트 | 14페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 논리회로설계실험_반가산기/전가산기 결과레포트
    덧셈이 성공적으로 이루어지는 것을 확인할 수 있었다.- 실험 3. 8비트 병렬 가산기를 설계하시오.1) Schematic Design전가산기를 모듈화 하여 만든 4bit adder실습자료의 ... 여기서 사용된 것은 이름결합방식으로 형식이름(4bit에서) => 실제이름(8bit에서)으로 연결하였다. 4bit가산기를 만들때나, 8bit가산기를 만들 때나 이름은 동일하게 하였으므로 ... 이를 모듈화하여 4bit8bit 병렬 가산기까지 그려본다.2. 실험 결과- 실험 1.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 이동통신공학 보고서 10.Walsh code PN code
    서로 다른 비트와 다른 비트를 같게하며 확장동기식 CDMA 이동통신 방식에 사용[그림1-2] Hadamard Matrix를 이용한 Walsh Code 생성OVSF 확장 방법코드 트리에서 ... 직교 변조코드로 사용최대 64개의 채널을 구분할 수 있음0채널 : 파일럿 채널에 할당1~7채널 : 페이징 채널에 할당(1번이 기본채널, 나머지는 선택)32 채널 : 동기 채널에 할당8채널 ... 감소반대로 τ 가 0보다 작은 경우 vc 는 음의 전압이 되어 PN 신호의 펄스폭이 감소하여, 결과적으로 τ 가 증가한[그림2-7] PN Code Tracking 회로도[그림2-8]
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대