• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,492)
  • 리포트(2,342)
  • 시험자료(95)
  • 논문(23)
  • 자기소개서(14)
  • 방송통신대(14)
  • 서식(2)
  • ppt테마(2)

"Decoder" 검색결과 121-140 / 2,492건

  • 디지털 시스템 실험 기본적인Combinational Circuit(Decoder, Binary-to-BCD Convertor, BCD-to-7 segment Decoder) 결과보고서
    7 segment로 출력하는 decoder를 설계한다.실험결과토의2to4 decoder 는 입력이 2개 출력이 4개이므로, 각각에 해당하는 변수를 정의한다. decoder에서 result1 ... 디지털 시스템 설계 및 실험 결과 보고서작성자:학번:실험조:실험일:실험제목기본적인Combinational Circuit(Decoder 설계, Binary-to-BCD Convertor ... 설계, BCD-to-7 segment Decoder 설계)실험목표2-to-4, 3-to-8 라인 디코더를 설계한다.Binary-to-BCD Convertor 설계한다.BCD 입력을
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • Decoding Vocabulary A Series
    Decoding Vocabulary: A Series 2500(영어 어휘: A 시리즈 2500 단어)===========================================철자
    리포트 | 82페이지 | 2,000원 | 등록일 2008.09.05
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    Schematic & VHDL(e) 4비트 4x1 Mux Schematic & VHDL(f) 0~f FND Decoder (VHDL만)을 Schematic과 VHDL 로 설계하고 ... 1비트 2x1 Mux Schematic & VHDL(b) 2비트 2x1 Mux Schematic & VHDL(c) 1비트 1x2 Mux Schematic & VHDL(d) 2x4 Decoder ... 하나의 입력을 제어신호로 선택된 출력으로 전달하는 조합논리이다.(4) Decoder & EncoderDecoder는 지정된 비트조합(Code)이 입력되는가를 검출하여 그 코드의 존재를
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 논리결과-5-Decoder & Encoder
    Decoder & Encoder1. 목적- 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. 실험 결과실험 1)? ... 실험 고찰이번 실험은 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해해 보는 실험이었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.23
  • 3-8 decoder verilog code (+test bench code), 3to8 decoder. 3-8 디코더
    module TTL74137 (G1, G2n, GLn, A, B, C, Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7); input G1, G2n, GLn, A, B, C; output Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7; reg Y0..
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.13
  • 논리회로실험) Decoder / Encoder / 7-segment LED
    Decoder 란 무엇인가 ?- Decoder 는 Encoder 와는 정반대 기능을 수행하는 역할이다. ... 기본 실험 이론- 이번 실험에서는 Encoder 와 Decoder 그리고 7-segment LED decoder를 이해하고 실험을 하는 과정이다 . ... 실험 목적- Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다.- 7-segment LED decoder를 이해하고, 특성을 실험으로 익힌다.2.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.06
  • decoder를 이용한 각종 시스템 구현
    RTL 회로□ BCD to 7-segment decoder- BCD to 7-segment decoder는 BCD에서 10진수를 받아들이고 그 숫자를 나타내는 segment 를 선택하여 ... 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부작성자 : 고려대 전기전자전파 공학부실험조 : 12조학번 :실험일 : 2009. 4. 7실험제목decoder를 ... 이용한 각종 시스템 구현실험목표1.BCD to Excess-3 code converter2.BCD to 7-segment decoder3.Traffic light controller실험결과
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.07
  • 실험7결과[1].Decoder&Encoder
    Decoder와 Encoder 결과 PAGE \* MERGEFORMAT - 1 -
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.27
  • INPUT MUX & DECODER
    No. 7 4-Input MultiplexerⅠ. Procedure2. 1 : = +5V⇒ 1Y : +0.133V (no change even if any input is changed)Ⅱ. Question1. 14 mA (from the Data Sheet)2. I..
    리포트 | 5페이지 | 1,000원 | 등록일 2008.01.07
  • 실험 7. Decoder & Encoder
    이 론◆ 복호기(Decoder)Decoder는 컴퓨터 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로이다. ... Decoder & Encoder1. 실 험 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 아래 그림은 2단 2진 카운터를 Decoding하는 Decoder의 예이다.K단 2진 카운터의 카운트 능력(2K)을 모두 이용할 때 모든 카운트 상태를 디코딩하기 위해서는 K개의 입력을
    리포트 | 8페이지 | 2,000원 | 등록일 2009.03.10
  • Encoder와 Decoder 결과보고서
    디코더(Decoder)디코더(Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리 회로이다. 입력코드의 수는 일반적으로 출력코드보다 적은 수를 가진다. ... 목적- Encoder와 Decoder의 기능을 익히고 부호변환 회로의 설계방법과 Seven-segment(숫자표시기)의 사용방법을 익힌다.1. ... Encoder는 decoder 회로의 반대기능을 지닌 회로로서 2n 개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.3. 7-세그먼트 표시기(Seven Segment
    리포트 | 5페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • FPGA를 이용한 디지털 시스템 설계(인하대) MUX, Decoder, Comparator 보고서
    실험목표이번 실험의 목표는 4-to-1 MUX , 2-to-4 Binary Decoder , 4bit Comparator , BCD-to-7 Segment Decoder를 설계한 후 ... 코딩이번 실험의 두번째 주제는 2-to-4 Binary Decoder를 설계하는 것이었다.Decoder는 복호기(또는 해독기)이며 어떤 규 된 신호로 바꾸는 회로이다. ... 2-to-4 Binary decoder는 2bit인 22개의 binary code를 입력으로 받아 4bit의 binary code 4개중에 1개로 출력하는 decoder인 것이다.2
    리포트 | 18페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • [아날로그및디지털회로설계실습A+] 7-segment Decoder 회로 설계 예비 레포트 입니다
    아날로그 및 디지털 설계 실습11# 7-segment Decoder예비 레포트설계실습 11. 7-segment / Decoder 회로 설계1. ... 목적 : 7-segment와 decoder를 이해하고 관련 회로를 설계한다.2. ... 실습준비물- 직류전원 장치 1대- 멀티미터 또는 오실로스코프 1대- Bread board 1대- 저항(330Ω) 8개- Decoder(74LS47) 1개- Hex inverter(74LS04
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • [디지털시스템실험(Verilog)] Decoder 예비보고서
    PICO Processor의 Decoder를 구현한다.실험준비물ModelSim(HDL Simulator)기본지식① Instruction DecoderDecoder(이하 디코더)는 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Decoder실험목표① ... 구현할 디코더는, 8bit의 Opcode를 받아 Execution이 동작하도록 하는 5bit의 ControlCode(alu_op)로 변환해주는 모듈이다.② Instruction Decoder참고자료
    리포트 | 3페이지 | 1,000원 | 등록일 2011.10.05
  • 7-segment / Decoder 회로 설계
    목 적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. ... 설계실습 11. 7-segment / Decoder 회로 설계학과전자전기공학부학번조/이름담당교수수업시간실험일1. ... 실험 준비물- 직류전원장치 1대- 멀티미터 또는 오실로스코프 1대- Bread Board 1대- 저항(330Ω) 8개- Decoder(74LS47) 1개- Hex Inverter(74LS04
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.08
  • 논리회로실험 실험5 Decoder & Encoder 결과보고서
    6주차 결과보고서실험 5 Decoder & Encoder▶실험과정 및 결과◈ 실험 1 : 2X4 Decoder구성 사진 :- 2개의 입력과 4개의 출력을 가지는 2X4디코더를 설계했다 ... ▶고찰◈ 실험 1 : 2X4 Decoder- 실험 과정 및 이론 :74HC04과 74HC08를 이용하여 2X4 Decoder를 브레드보드에 구성하고 실험해보았다. ... 예상대로 선택신호 SW1, SW2에 의해 어떤 입력을 출력할지 결정되었고 그 결과를 LED로 확인 할 수 있다.◈ 실험 2 : BCD to Decimal Decoder구성 사진 :-
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • Quartus 실습 - 7segment, Mux, Adder, Decoder
    >> Source3. 7-Segment Decoder >> Compile3. 7-Segment Decoder >> Simulation4. 2x1 Multiplexer >> Source4 ... Report< Quarus 실습설계 >1. 6-bit Full_Adder (ripple carry adder)2. 6-bit Shift Register3. 7-Segment Decoder4 ... Register >> Source2. 6bit-Shift Register >> compile2. 6bit-Shift Register >> Simulation3. 7-Segment Decoder
    리포트 | 9페이지 | 1,500원 | 등록일 2012.03.28
  • [아주대] 논리회로실험 5장 예비(Decoder & Encoder)
    Experiment 5 Decoder & EncoderOBJECTIVES- 디코딩(Decoding)과 인코딩(Encoding)의 코드 변환 동작에 관한 실험하고 그 동작원리를 이해한다.RESUME
    리포트 | 13페이지 | 2,000원 | 등록일 2013.09.25
  • 실험 7. 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder) >< 목 적 >카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대