• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(485)
  • 리포트(473)
  • 자기소개서(5)
  • 시험자료(4)
  • 서식(2)
  • 논문(1)

"FND" 검색결과 121-140 / 485건

  • [서울시립대] 전자전기컴퓨터설계실험2 / 파이널 계산기 / 2021년도(대면) / A+ (코드파일 포함)
    1. Introduction- 앞서 수행한 실험들(논리 설계, 7-segment와 Piezo 장치 제어, LCD 장치 제어 등)을 바탕으로, Verilog HDL 언어를 사용하여 최종적으로 다양한 기능을 가진 계산기를 설계한다. 각각의 기능은 testbench 작성을 ..
    리포트 | 25페이지 | 20,000원 | 등록일 2022.08.12 | 수정일 2022.08.18
  • Term_Project_보고서_1조
    빵 판에 구현해 본 결과 FND 500의 VCC에 저항을 달아주지 않으면 전압 값이 2V정도로 떨어지게 되었는데 저항을 달아줄 경우 전압 값이 떨어지는 것을 막아준다는 것을 측정결과
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 8.Peripherals - 예비+결과+성적인증 (서울시립대)
    오차율은 모두 0.5% 미만으로 일반적인 실험 대비 매우 정확한 값에 속하지만 Piezoelectric speaker에 의한 전자적인 출력이므로 우발오차가 개입하기 어렵다는 점을 고려하면 정밀하지 못한 결과라고 볼 수도 있다.이에 대하여 ①주변 소음에 의한 random..
    리포트 | 29페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.11.10
  • 이비인후과, 비뇨기과 암수술 전, 중, 후 간호 요약 정리
    Thyroid op; lobectomy, T.TcND, ~ND(FND, SND, MRND, RND), UABA&BABA, transoral[OP day]- 목 수술시 주의사항 : 심한기침
    리포트 | 16페이지 | 3,000원 | 등록일 2022.04.27
  • 조선대 전자회로실험 디지털시계 과제 레포트
    (시간절약)설명 재료 설명 설치 방법 7-세그먼트 8개의 획으로 숫자를 나타낼 수 있는 표시장치로 FND라고 불립니다.
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • VHDL실습 디지털 시계
    시를 나타낼 때 12hr 단위로 흘러가기 때문에 12진 카운터가 필요하다.⑤ FND 디코더 ? ... 보드 상에 시각을 fnd로 표현하기 위해 설계해야 한다.그런데, ①번의 2x1 MUX 에서도 디지털시계를 설계할 때와 같은 방식으로 component문을 사용하여 구조화 하여야 한다 ... 생성기를 확인 할 수 있다.60진 카운터60진 카운터의 코드는 다음과 같이 짤 수 있다.60에는 일의자리와 십의자리가 있어야 하므로 port에서 일의자리와 십의자리를 설정해줬다.fnd
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • VHDL 디지털 시계 digital watch
    분리해서 카운팅[12진 카운터]12진 카운터는 디지털 타이머에서 시간을 나타낼 때 사용한다.12진 카운터도 60진 카운터와 비슷하다.FND decoder로 표현해야 되기 때문에역시 ... 디코더지난번 실습 때 사용했던 코드를 사용하였다.(5) Digital Watch[VHDL]FND 출력이 6개인 이유:초 단위(1의 자리, 10의 자리) 2개 + 분 단위(1의 자리 ... , 10의 자리) 2개 + 시간 단위(1의 자리, 10의 자리) 2개 = 6개component 구문에서 mux와 fnd 디코더, 카운터 60 과 12가 실제로는 많이 쓰이지만 하나만
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • Atmega32 임베디드 프로세서
    out - PORTA //FND control - ... ; PORTA=FND[N10]; //10의자리 표시 delay_ms (10); PORTC=0b00000100; PORTA=FND[N100]; //100의자리 표시 delay_ms ( ... 10); PORTC=0b00001000; PORTA=FND[N1000]; //1000의자리 표시 delay_ms (10); } } 0~9999 표시ATMEGA32 PORT A PA0
    리포트 | 77페이지 | 1,000원 | 등록일 2019.01.28
  • 전감산기 verilog 설계
    제목전감산기 설계실습 목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 빌림수를 고려해야 한다. 그리고 감사한 결과와 위에서 빌린 수를 나타내야 한다. 전감산기 설계 과정을 통해 조합논리회로를 Verilo..
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 메카트로닉스 실험 예비 보고서 실험5
    Flexible Numeric Display)의 종류에 대해서 조사하시오.FND 500, FND 507, FND 508 등이 있는데, 실습할 때에는 각 종류마다 핀의 배열이 조금씩 ... 메카트로닉스 실험 예비 보고서실험제목 : I/O 포트와 7-Segment 구동 실험제출일자 : 2012년 12월 6일제출자 : 기계공학과 20828067 전영제예비조사(1) FND( ... 종류와 하드웨어 구성에 대해서 조사하시오.D, 일명 7 세그먼트는 일곱 개의 발광 다이오드(LED)가 각각으로 독립된 것 같지만 함께 동작하여 숫자를 형성하기 때문에 붙여진 이름이다.FND
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.18
  • 시립대 전전설2 [8주차 예비] 레포트
    지난 실험 마지막 과제였던 4-bit counter의 출력 값을 FNDFND Array에 표시하시오Parallel Load Data D~A: Bus Switch 1~4Count
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • GPIO 입출력 제어 결과 보고서
    마지막 실험은 GPIO로 FND LED로 켜는 과제였다. 7-segment는 오랜만에 사용하다보니 어떤 방식으로 작동하고 사용해야하는지 고민이 많이 들었었다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.01.11
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (예비) Keypad 제어
    1. 6.8절을 참조하여 Keypad의 key를 누를 때마라 그 key에 대한 16진수가 FND0에 써지도록 하는 프로그램 “key_mm.c”와 그에 대한 Makefile을 작성한다 ... include #include #include // 헤더파일 선언#define KEY_OUT_ADDR0x11D00000#define KEY_IN_ADDR0x11E00000#define FND_CS0 ... 0x11000000 // 물리적 주소 정의#define SCAN_NUM4 // keypad가 4x4 matrix 이므로 4로 설정unsigned char *keyin, *keyout, *FND0
    리포트 | 4페이지 | 1,000원 | 등록일 2018.07.05
  • 시립대 전전설2 [8주차 결과] 레포트
    그리고 또 다른 실험으로는 FND Array를 이용하여 하나의 FND의 출력이 아닌 4개의 FND가 연결되어있는 Array에 출력을 하는 실험을 하였다. ... 지난 실험 마지막 과제였던 4-bit counter의 출력 값을 FNDFND Array에 표시하시오Parallel Load Data D~A: Bus Switch 1~4Count ... Summarize experiment contents & purpose of this LabFND COUNTER를 구현하는 방법을 익혔고 7-세그먼트 디코더를 사용하여 FNDFND
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 전자전기컴퓨터설계실험2(전전설2)8주차결과
    특히나 FND의 경우 FND array를 구현할 때 병렬로 입력됨을 명심하고 이를 구현하여야 했다. ... 결론 (Conclusion)앞서 예비레포트에서 알 수 있었듯이 기본적으로 많이 사용되는 표기품 중 하나인 FND에 대해 작동원리를 알고 구현해볼 수 있는 실험이었다.
    리포트 | 24페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 디지털시계,digital clock 프로젝트
    AT89S51의 Port1의 출력은 회로도 상의 왼쪽 두 개의 FND를 제어하고, Port0의 출력은 가운데 두 개의 FND를 제어, Port2의 출력은 오른쪽 두 개의 FND를 제어한다.FND와 ... FND / 74LS47그림 3 FND 그림 4 74LS47거시적으로 본다면 AT89S51칩의 연산 결과를 74LS47로 보내어 FND에 숫자를 출력한다. ... FND1이 블랭크인 경우if (t == 0){z = 0xFF;// FND1, FND2 모두 블랭크인 경우t = y & 0xF.0과 P3.1을 읽어 동작모드 결정if (c == 3)
    리포트 | 20페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털회로 실험 결과 보고서(디코더, 인코더)
    (위의 LED에는 불이 켜지지 않는다.)실험 10-5 BCD-to-7 segment decoder를 이용한 FND 구동회로BCD-to-7 segment decoder를 이용한 FND ... (input active low)⑥ 3번 핀과 4번 핀은 5V 에 연결하고 5번 핀은 GND, FND 의 COM 에는 5V 를 연결해준다.⑦ decoder에서 FND 로 연결시 헷갈리지 ... segment decoder)LED(Light Emitting Diode) 2개, 저항 100(Ohm) 2개, 저항 330(Ohm) 8개, Common Anode 형 7 - segment(FND
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.20
  • 전자회로실험및설계 : 7-segment (7세그먼트) 예비보고서
    또한 7-segment는 FND라고도 부르는데, FND는 Flexible Numeric Display의 약자이다. ... .7-segment(FND) FND2) 7-segment의 원리7-segment에는 8개의 LED가 내장되어 있으며 내장된 LED들을 각각 따로 ON/OFF 제어하여 숫자를 표시할 ... 더욱 다양한 숫자나 문자를 표현하기 위해 7개의 LED와 dot 외에 대각선 등의 위치에 LED를 추가하는 경우가 있는데, 이런 소자들과 7-segment를 포함하여 FND라고 부른다
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • 마이크로프로세서 설계 및 실습 타이머 스탑워치 구현 및 설명
    FND0 h P0- FND1 ¼ P1- FND2, 3 h P2- FND2 CS ¼ P3_0- FND3 CS h P3_1- start /stop toggle switch ¼ P3_2 ... P0#define FND1 P1#define FND2_3 P2#define FND2_CS P3_0#define FND3_CS P3_1#defineSW3 P3_2#defineSW4 ... .○.설계 목록- 4개의 FND를 모두 디스플레이하여 4자리 stopwatch를 만든다.- FND 3,2번은 초단위를 00~99초까지 디스플레이- FND 1,0번은 1/100초단위를
    리포트 | 10페이지 | 5,000원 | 등록일 2016.05.18 | 수정일 2021.05.13
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (결과) Dot-Matrix 제어
    실습의 원리나 방식은 LED에 숫자를 Counting 하였던 저번 FND 실습과 비슷하므로 저번 실습을 제대로 진행하였다면 이번 실습을 진행하는데 큰 어려움은 없을 것이라 판단된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.07.05
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대