• 통큰쿠폰이벤트-통합
  • 통합검색(211)
  • 리포트(211)

"Latch & Flip-Flop" 검색결과 121-140 / 211건

  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    Latch는 기본적인 Flip-Flop을 말하며, NOR나 NAND로 구성될 수 있다. ... 각각의 T F/F이 동시에 CLK의 입력을 받으며, 각 단의 트리거 조건은 앞단의 Q와CNTEN의 AND gate 조합으로 이루어져 있다, 병렬로 조합된 계수기이다.? ... J와 K가 모두 1인 때를 제외하고는R-S F/F의 동작과 똑같다.3) 래치 (D Latch): 디지털 논리회로에 있어서 Latch는 하나 이상의 비트를 저장하기 위한 디지털 논리회로를
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 카이스트 전자공학실험2 실험1 Combinational Logic Design, Flip-Flop, and Counter 결과보고서
    (Use the table in terms of clock, inputs, output)Fig. 2 R-S Flip-Flop with ClockThe latch and the flip ... Combinational Logic Design,Flip-Flop, and Counter1. ... T Flip-Flop 역시 D Flip-Flop처럼 input이 하나(T)이기 때문에 간단하다.
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • 아주대 논회실 실험6 예비보고서.hwp
    실험목적여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다.2. ... 또한 클럭 파형으로부터 오는 변화하는 지점을 알기위해서 CLK를 스파이크 신호를 바꾸는 작업을 하는데 이것이 모서리 검출기 회로이며 상승모서리 검출기 회로인 경우에는 NOT과 AND게이트를 ... 즉 edge-sensitive한 값을 갖고 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • flip-flop and counter design(결과)
    Experiment Result(1) Designing and verifying of JK flip-flop and D flip-flop① JK flip-flop- WaveformThe ... (2) Designing and verifying various Flip-flops and Counters① Design and verify Master/Slave JK Flip-flop ... of negative edge.- Setup / Hold time & Delay time② D flip-flop- WaveformThe D flip-flop is synchronized
    리포트 | 13페이지 | 1,000원 | 등록일 2011.07.09
  • 논리회로 자판기 제작 최종 보고서
    , 클럭 펄스를 enable 신호로 생각하여 이것에 의해서 level trigger되는 flip-floplatch라고 하고, 클럭 펄스에 의해서 edge trigger(master ... slave 방식도 edge trigger임)되는 것을 flip-flop이라 한다.latch는 level trigger 되므로 펄스의 폭이 넓다고 가정할 때 펄스 후반에 입력의 변화가 ... 구성되며 자료의 기억용으로 사용된다.flip-flop이 trigger되는 방식은 level trigger, edge trigger, master slave 형태로 구분할 수 있는데
    리포트 | 10페이지 | 1,500원 | 등록일 2012.02.29
  • Lab#5]플립플롭 래치 IC 실습
    [실습 4] TTL 74LS76 Dual J-K Flip Flop with PS and CLR 실습a)아래 그림과 같이 74LS76 J-K Flip Flop 회로의 핀 번호를 찾아 ... -shift-register로 사용할 수 없고, 각 단을 종속 접속해서 사용할 수 없다.③TTL 74LS76 : Dual J-K Flip Flop with PS and CLR-한 package에 ... : 신 호 영 학번 : 200810211 제출마감: 2011. 5. 20(금)[Lab.#5]Flip Flop, 4-bit Latch 실습[1]학습목표a)순차 논리회로의 개념, NAND
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 플립플롭 실험 예비보고서
    SR Latch 회로래치(latch)는 기본적인 플립플롭(basic flip-flop)을 말하며, NOR 게이트를 사용하여구성할 수도 있고, NAND 게이트를 사용하여 구성할 수도 ... 이 회로는 그림 7-1에 나타내었던 NOR 래치 회로의 입력단자에 AND 게이트를 추가하여 연결하거나, 그림 7-2에 나타내었던 NAND래치 회로의 입력단자에 NAND 게이트를 추가 ... 제어신호를 갖는 SR 래치 회로를 "gated SR latch" 라고도 하며, 이 경우 그림7-3 회로에서 입력 신호 E(enable)를 G로 표기하기도 한다.그림 7-3에 나타낸
    리포트 | 6페이지 | 1,000원 | 등록일 2014.10.15
  • 전자전기컴퓨터설계실험2(전전설2)6주차예비
    PreliminaryReport주 제: Lab#06 Sequential_Logic_Design_Ⅰ@ Flip-Flop, Register and SIPO지도교수 : 신 창 환 교수님실험조교 ... SR래치(LATCH)도식(with nor gate)진리표순차회로의 대표적인 예로 결과값이 입력에 영향을 미치고 있다. ... 방법 (Materials & Methods)1. Materials가.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • [VHDL]실험12. 16진 counter
    단일 Clock, 단일 Edge만 사용(signal'event and signal='1') --rising edge(signal'event and signal='0') --falling ... /Flop의 다양한 표현법을 익힌다.Chapter 1. ... 제목1216진 counter실험 일자제출자 이름제출자 학번팀원 이름팀원 학번*실험 목적(1) 동기 reset을 포함한 16진 카운터를 설계하여 시뮬레이션을 통하여 결과를 확인한다.(2) Flip
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • [소자 및 시스템 시뮬레이션] SPICE 과제2
    D Latch, S-R Latch 등이 있다.22774-6) D Flip-Flop (Rising Edge Trigger)101099554411118866X2X2X4X4X8X8X6X6X7X7X5X5X3X333X1X111CodeResultType.global ... (Rising Edge Trigger)이론) Flip-FlopFlip-Flop은 두 가지 상태 사이를 번갈아하다. ... pulse(0 5 0 100n 100n 1m 2m)vclkb2 7 0 pulse(5 0 0 100n 100n 1m 2m).tran 10n 10m.endVClkVClkVDVDVQVQD Flip-Flop
    리포트 | 15페이지 | 10,000원 | 등록일 2013.06.14
  • Lab(5) 플립플롭 래치 IC 실습
    )[Lab.#5]Flip Flop, 4-bit Latch 실습[1]학습목표a)순차 논리회로의 개념, NAND gate R-S Flip Flop, D-type Flip Flop, J-K ... [실습 4] TTL 74LS76 Dual J-K Flip Flop with PS and CLR 실습a)아래 그림과 같이 74LS76 J-K Flip Flop 회로의 핀 번호를 찾아 ... -shift-register로 사용할 수 없고, 각 단을 종속 접속해서 사용할 수 없다.③TTL 74LS76 : Dual J-K Flip Flop with PS and CLR-한 package에
    리포트 | 16페이지 | 1,500원 | 등록일 2010.06.23
  • 전자전기컴퓨터설계실험2(전전설2)6주차결과
    PostReport주 제: Lab#06 Sequential_Logic_Design_Ⅰ@ Flip-Flop, Register and SIPO지도교수 : 신 창 환 교수님실험조교 : 이 ... SR래치(LATCH)도식(with nor gate)진리표순차회로의 대표적인 예로 결과값이 입력에 영향을 미치고 있다. ... 방법 (Materials & Methods)1. Materials가.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • [토끼] Flip-Flop (플립플롭), JK 플립플롭, D플립플롭, T플립플롭의 설계 및 검증
    NAND Gate를 이용한 SR Latch 회로를 직접 제작해 보고 동작을 확인한다실험 2 - 74LS74를 이용 D Flip-Flop 회로를 직접 제작해 보고 동작을 확인한다.실험 ... Flip-Flop을 구성하는 작은 단위인 SR LATCH, 74LS74를 이용하여 클록 전이에 따라 입력 값을 그대로 저장하는 특징을 이용한 D 플립플롭, 74LS76을 이용하여 ... (ⅲ-ⅰ)② D Flip-FlopD 플립플롭(Delay flip-flop 또는 Data flip-flop)은 SR 플립플롭과 NOT 게이트 한 개를 사용하여 만들 수 있다.
    리포트 | 48페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2014.06.08
  • 플립플롭
    J-K Flip_Flop?이 회로는 SR Flip_Flopand 게이트를 2개 붙여서 제작하였다. ... 실험제목: D Flip-Flop, T Flip-Flop, J K Flip-Flop, 카운터실험목적: D Flip-Flop, T Flip-Flop, J K Flip-Flop 을 목적에 ... 맞게 설계 및 그 구성과 원리를 알아본다.D Flip-Flop을 두개 연결하여 T Flip-Flop을 만들고 여러개의 D Flip-Flop을 붙여 JK Flip-Flop을 만든다.원
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.23
  • [논리회로실험]실험5예비보고서 래치와 플립플롭
    참고로 출력 Q 값이 반대로 바뀌는 것을 토글(toggle) 기능이라고 한다.Latchflip-flop의 차이점을 설명하라.< 래치 [ latch ] >>시간적으로 변화하는 레지스터 ... : RESET101 : SET111NAND gate로 된 flip-flop회로에서는, 두 입력이 모두 1일 때 flip-flop 상태는 전 상태를 그대로 기억하여 그 상태로 남아있게 ... 만약 S를 ‘1’로 바꾼 뒤에 R에 ‘0’을 가하게 되면 flip-flop은 clear상태가 된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.12
  • 실험 5. 래치와 플립플롭 예비보고서
    이를 해결하기 위해 Master -slave 개념을 쓰게 된다.< Clocked Master-Slave JK Flip-Flop >CP가 0→1 이면 master-slave flip-flop ... 반전한다.< 참고 : http://artoa.hanbat.ac.kr/lecture_data/digital_system/16.pdf>(4) Latchflip-flop의 차이점을 ... 동작 (J, K에 따라서 Y, Y'가 결정)CP가 1→0 이면 slave flip-flop 동작 (Y, Y'에 따라서 Q, Q'가 결정)즉, CP의 negative edge에서 출력Q가
    리포트 | 5페이지 | 1,000원 | 등록일 2011.01.11
  • 아주대논리회로실험 7장.래치와플립플롭 예비(기본구성+빵판+예상결과)
    목 적여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다.Ⅱ. ... 이 론Flip-flop(이하 F/F)은 두 가지의 안정 상태를 갖는 소자로서 외부로부터 신호가 인가되기 전까지 계속 현 상태를 유지하고 있으며, 또 외부의 신호 변화가 발생한 이후에도 ... 여러 종류의 F/F들의 기본 동작은 다음과 같다.(1) R-S F/F (R-S Latch with Enable)다음의 R-S F/F은 NAND 게이트로 만든 플립플롭 회로이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2011.12.21
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    D flip flop4. shift register[결과 및 토의]SR latch, D latch, D flipflop, shift register를 구현하는 실험이었다. latch란 ... 마무리.시뮬레이션-스크린샷-결과및토의입력출력XYC (AND)S (XOR)0*************10위 표와 같은 입력과 출력을 만들기 위하여 코드를 작성하였다. ... Binary 입력을 7-segment로 출력하는 디지털 회로를 설계한다.실험결과[작성코드 & 코드설명]1.
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 전기전자기초실험 Flip-flop and Counter Design 예비보고서
    Report Topic : Flip-flop and Counter Design- Theory1-1. ... , a D flip-flop, or a T flip-flop. ... P and P bar goes into slave flip-flop's inputs when clk changes from 1 to 0, and the outputs Q and Q
    리포트 | 11페이지 | 1,000원 | 등록일 2009.09.08
  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &amp;Encoder결과보고서
    Latch & Flip-Flop실험 1) D F/F (Gate 이용)NOT, NAND gate를 사용하여 D F/F회로를 구성DCQQ ^{'}비고-0QQ ^{'}불변0101reset1110set실험 ... Decoder & Encoder실험 1) 2*4 디코더AND, NOT gate를 사용해서 2*4 디코더회로구성실험 1 결과값입력출력ABD0D1D2D30*************0010110001실험 ... 1은 2X4 디코더를 AND, NOT gate를 사용해서 만드는 실험이었다. 2X4 디코더라는 것이 2비트의 2진수를 4개의 서로 다른 정보로 출력하는 것인데 실험 전에 예상한 truth
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대