• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,218)
  • 리포트(1,170)
  • 시험자료(25)
  • 자기소개서(15)
  • 방송통신대(7)
  • 논문(1)

"adder" 검색결과 121-140 / 1,218건

  • Full Adder 소스 ( ha + or )
    VHDL< Full Adder 소스 >--------------------------------------------------------library ieee;use ieee.std_logic
    리포트 | 2페이지 | 1,000원 | 등록일 2011.05.29
  • 1bit full adder
    1) My Cad schematic editor에서 1bit full adder 회로구성2) 검사 및 SPICE 네트리스로 보내기3) MySpice에서 실행결과 확인4) include.inc에서 ... 실행결과include.inc를 추가하면1BIT_FULL_ADDER**************************************************************** ... 11/27/06********************************************************************************'1BIT_FULL_ADDER
    리포트 | 10페이지 | 3,000원 | 등록일 2008.09.19
  • 2-Bit Adder Design
    이번 실험은 2-Bit Adder Design 을 확인하는 실험이었다. 2-Bit Adder Design 는 B1, B0, A1, A1 을 Input으로 하고, C0, S1, S0을 ... 이는 2-Bit Adder Design 내에서 연산을 할 때 걸리는 Delay라고 할 수 있다. ... 이것도 2-Bit Adder Design 내에서 연산을 할 때 걸리는 Delay라고 할 수 있다.
    리포트 | 4페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • TTL을 이용한 Full Adder Design
    이번 실험에서는 TTL을 가지고, Full Adder를 Design 하였다. ... 실험 결과 및 분석이번 실험에서는 TTL을 이용하여 Full Adder 회로를 구현하였다. ... 실험값우선 Full Adder의 Truth Table을 구해보면 아래와 같다.XYCarry-inSCarry-out0*************00110110010101011100111111위의
    리포트 | 3페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • HDL - serial ADDER report
    실제로 입 출력 포트는 입력 : rst, clk, load, Enshift, din, serialin 출력 : dout이며, DIN, DOUT은 shiftreg의 동작을 보이기 위해서 입으로 출력을 표현한 것이다. 위의 파형을 보면 DIN은 계속해서 쉬프팅되고..
    리포트 | 8페이지 | 1,000원 | 등록일 2010.12.19
  • 아주대 논리회로 실험 예비3 가산기 감산기 adder subtractor
    가산기 & 감산기실험목적Logic gate 를 이용해서 가산기(adder) 와 감산기 (substractor)를 구성한다.디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조및 ... 반가산기(half adder) 회로는 2진수 덧셈에서 맨 오른쪽 자리를 계산할 때 사용할 수 있도록 만든 회로아래 그림에 나타낸 것과 같이 2개의 비트 A와 B를 더해 합 S와 자리올림
    리포트 | 8페이지 | 1,000원 | 등록일 2016.12.24
  • (디지털시스템설계)VHDL Full Adder
    Full_Adder에 대한 VHDL 코드를 구하시오 단. ... Full_Adder의 논리도를 구하시오. 이때 할당된 pin 번호 밑 jump cable 구성의 구성을 표시하시오.6. Full_Adder의 Emulation 결과를 보이시오. ... 디지털시스템설계- Full adder (전가산기) -담 당 교 수 님교수님소 속조( 조)제 출 일 자2010.학 번 성 명1.
    리포트 | 8페이지 | 1,000원 | 등록일 2010.12.01
  • MATLAB을 이용한 MUX & ADDER설계
    ,c : OUT STD_LOGIC);END threeinput_full_adder;architecture RTL of threeinput_full_adder is component ... ,s0,c1); U2 : full_adder port map(a1,b1,c1,s1,c2); U3 : full_adder port map(a2,b2,c2,s2,c);end ... _1164.all;ENTITY threeinput_full_adder ISPORT ( a0,a1,a2,b0,b1,b2 : IN STD_LOGIC; s0,s1,s2
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.30
  • 반가산기(Half Adder)와 전가산기(Full Adder)의 설계
    4주차 과제반가산기 (Half-Adder)와 전가산기 (Full-Adder) 설계1. ... 설계 내용 및 방법- 반가산기(Half-Adder)의 자료흐름적 표현 – Entity Half_Adder is -- Entity를 Half_Adder로 선언 port(x, y : in ... 토의이전에 배운 AND, OR, XOR 연산자를 이용하여, 반가산기(Half-Adder)와 전가산기(Full-Adder)를 실습해보았다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • 논리회로, 이학전자(Voltage divider, Voltage adder, 저항, 전류, 전압 이해)
    Waveform generator, oscilloscope, multi-meter, 전원공급기, bread board 사용법을 숙지한다.② voltage divider, voltage adder
    리포트 | 18페이지 | 4,000원 | 등록일 2015.11.22
  • verilog - modified CLA와 CLA를 이용한 fast adder 구현
    가산기(모듈명 : fast16bit_adder) 코드가 쓸데없이 길다고 생각되어 다른 방법이 있는지 조사하다가 알게 되었습니다. ... )※ Primitive Gate (AND, OR, XOR 등)를 배열로 구현한 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder_2)(위에서 구현한 16비트 고속 ... 있다.이를 이용한 것이 Modified Carry Look Ahead (CLA* : 수정된 캐리 예견 회로) 이다.그리고 그 CLA*를 하위모듈로 이용하여 구현한 가산기를 Fast Adder
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • VHDL로 구현한 8bit Full Adder
    8bit adder8bit adder는 full adder 7개와 마지막 adder하나는 half adder를 이용하여도 무방하다. ... full adder를 구현하기 위하여 먼저 half adder와1bit full adder에 대하여 알아보았다.? ... Full Adderfull adder는 half adder의 한계를 극복하기위한 것이다. half adder에서 발생한 carry를 이용하면 2bit이상의 연산을 수행할수 있게되기
    리포트 | 7페이지 | 2,000원 | 등록일 2010.12.27
  • 부산대 물리실험 2 이학전자실험 Voltage Divider, Adder
    Voltage divider와 Voltage adder의 회로를 이해한다.3. 저항 또는 축전기(Capacitor)를 보고 읽는 법을 숙지한다.4. ... 물리실험 Ⅱ 결과 보고서Voltage Divider, Adder학 과 :학 번 :.이 름 :담 당 교 수 :실 험 교 수 :실 험 날 짜 :제 출 날 짜 :▣ 실험 목적1. ... Voltage adder (AC+DC)1. Bread Board를 이용하여 다음과 같은 회로를 구성한다.2. 10kHz, 5V V _{p-p} sine 파형을 가한다.3.
    리포트 | 20페이지 | 4,000원 | 등록일 2014.09.14
  • 4-bit Adder 회로 설계
    설계실습 10. 4-bit Adder 회로 설계학과전자전기공학부학번조/이름담당교수수업시간실험일1. ... AND Gate(74LS08) 5개- Quad 2 Input OR Gate(74LS32) 5개- Quad 2 Input XOR Gate(74LS86) 2개- 4-bit binary adder ... (NAND-NAND) 또는 OR-AND(NOR-NOR) 로직 회로를 설계하여라.(4) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리회로를 설계하여라.(5) 4-bit Adder
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.08
  • 디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    실험목표이번 실험의 목표는 4bit full adder를 VHDL언어로 코딩하여 ModelSim프로그램으로 시뮬레이션하는 것이었다. (단 XOR를 사용하지 않는다.)2. ... 실험과정 및 소스코드4bit full adder를 작성하기에 앞서 4bit fulladder의 구성요소로 사용할 1bit fulladder를 코딩하여야 했다.@1. 1bit full ... adder 코딩1bit fulladder는 세가지 입력과 두가지 출력을 가지는데, 세가지 입력은 모두 bit로 선언하였고, 두가지 출력 역시 bit로 선언하였다.세가지 입력 X,
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • 9조 post 2주 opamp adder&subtractor
    제 2주차 Post Report실험제목: op-amp adder & subtractor담당교수 : 박병은 교수님담당조교 : 박인준 조교님실험일 : 2012.03.21제출일 : 2011.03.27소속 ... 실제로 +15V, -15V를 op-amp에 인가해서 adder는 위상이 바뀐 채로 신호가 더해지는 것을 확인 했고, subtractor는 위상이 바뀌지 않은 채로 빼지는 것을 확인했다 ... PAGEREF _Toc352017993 \h 6초록이번 주는 Operational amplifier에 Vcc, Vee 전압을 인가하고 제대로 작동함을 확인하고, 회로를 구현해 3 input adder
    리포트 | 8페이지 | 4,000원 | 등록일 2014.03.06
  • 9조 pre 2주 opamp adder&subtractor
    2009440111성명: 이종욱RC circuit - Transient response실험 목적Operational Amplifier의 기본적인 동작원리를 알고, 이를 이용하여 adder
    리포트 | 11페이지 | 3,000원 | 등록일 2014.03.06
  • [토끼] Adder(가산기), HA회로, FA회로, 2 Digit Adder, 2 Digit Adder-Subtractor 설계 및 VHDL검증
    이러한 산술 기능을 수행하는 회로에는 AdderAdder-Subtractor, mutilplexer등이 있는데 이 실험을 통해서 Adder를 이용한 반가산기, 전가산기, FA를 ... 이용한 4Digit Adder, FA를 이용한 2Digit Adder-Subtractor를 제작하고 그 동작을 검증하여 이러한 조합회로의 성질과 특징에 대해서 알아본다.4.Background0 ... 실험4- 2 Digit Adder-Subtractor실험4) 2Digit Adder-Subtractor 회로를 설계하고 제작하여 동작을 검증하라.< 핸드아웃 Schematic과 ORCAD
    리포트 | 42페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 4Bit Ripple Carry Adder의 이론과 레이아웃
    4 Bit Full Adder Layout- 목 차 -1. Conception for 1 Bit Adder2. Conception for 4 Bit Adder3. ... ADDER를 앞단의 CARRY OUT이 다음단의 CARRY IN 으로 연결시킴■ 4BIT ADDER LOGIC DIAGRAM■ 4BIT ADDER SIMULATION & RESULTo ... Process of 4 Bit Adder Layout■ 1BIT ADDER LAYOUT & LOGIC BLOCK DIAGRAM■ 4BIT ADDER LAYOUT & LOGIC BLOCK
    리포트 | 13페이지 | 2,000원 | 등록일 2011.07.17
  • [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 예비 레포트 입니다
    아날로그 및 디지털 설계 실습10# 4-bit Adder예비 레포트설계실습 10. 4-bit Adder 회로 설계1. ... AND gate(74LS08) 5개- Quad 2 input OR gate(74LS32) 2개- Qaud 2 input XOR gate(74LS86) 2개- 4-bit binary adder
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:12 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대