• 통큰쿠폰이벤트-통합
  • 통합검색(1,201)
  • 리포트(1,068)
  • 자기소개서(90)
  • 논문(23)
  • 시험자료(17)
  • 방송통신대(1)
  • 이력서(1)
  • ppt테마(1)

"dc모터제어기" 검색결과 121-140 / 1,201건

  • 공학 졸업논문입니다
    이를 인지하고 DC모터가 작동하는지를 본다.책을 빼고 난 후 DC모터의 작동 여부를 본다.DC모터가 작동하여 책을 밀어주면 성공3. ... .- DC모터가 몇 kg의 책들을 밀어 줄 수 있는지 고려해야 한다.2. ... 책 빠질 경우로드셀 센서(책 무게 측정)무게값이 달라지면 제어부에서 인식책이 빠진 공간 만큼 DC 모터 동작[그림 2] 책 빠질 경우 동작 순서2.3 평가 항목과 평가방법[표 1]
    리포트 | 14페이지 | 2,500원 | 등록일 2022.02.16
  • 아주대학교 기계공학응용실험 - DC Motor 구동 및 DAQ
    DC모터는 여러 가지 특징들이 있는데 그 중 대표적인 특징은 다음과 같다. 우선 플레밍의 왼손법칙을 이용한 모터이며 제어가 쉽고 가격이 싸다는 장점이 있다. ... - 결과 보고서 -DC Motor 구동 및 DAQ과목명 : 기계 공학 응용 실험제출일:실험일자:실 험 조 명 :책임 수행자 :공동 수행자 :형 식/ 10이 론/ 10장 치 및 방 법 ... DC모터에는 ‘브러쉬’ 라는 것을 사용하는데 모터 축에 브러쉬가 닿아 전기가 통해 회전이 이루어지는 원리로 DC모터가 가동된다.
    리포트 | 7페이지 | 2,000원 | 등록일 2019.10.12
  • 자동차공학 - 전기자동차의 원리와 기술동향
    이에 따라 자원 보유국의 자원 무기화 경향을 띄어 국제 금융 위 영구자석 전동기(Brushless DC Motor)가 사용되고 있으며 일부에서는 Switched Reluctance ... 있는 전동기의 회전속도를 제어하게 된다.전기자동차의 개발 사례최근 들어 국제 모터쇼에서 전기자동차들이 상당한 주목을 받았다. ... Reluctance Motor는 자기저항 토크만을 이용하는 모터로 구조가 간단하고 튼튼하며 제어회로의 발전에 의해 성능이 향상되고 있어 최근 주목 받고 있다.
    리포트 | 32페이지 | 3,000원 | 등록일 2020.11.28
  • 아두이노 보드 활용 조사 & 라즈베리파이 아두이노 차이점 정리 레포트
    로봇, 드론 활용아두이노는 DC모터, 스텝핑모터, 서보모터의 정밀한 제어가 가능하여, 장애물 감지 센서와 모터를 아두이노와 연결해 로봇을 만든다면 장애물을 피해가는 로봇도 만들 수 ... [선풍기 임베디드 시스템 활용 예시][공장 냉방을 통한 컴퓨터/임베디드 역할 차이]임베디드의 특징으로는 보통 작은 크기와 저전력 소모, 빠른 작동 속도, 안정적인 동작, 신뢰성 및 ... 아래의 예를 통해 임베디드 시스템이 어떻게 활용되는 지와 컴퓨터의 목적과 차이를 알아보자, 공장을 시원하게 해주기 위해 선풍기를 가동한다고 가정해보자.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.07.01 | 수정일 2023.07.02
  • 한양대학교 일반대학원 전기공학과 학업계획서
    VLF를 이용한 전력케이블 진단 시뮬레이터의 구현 연구, 평면거울법을 이용한 Hg-Ar 방전의 63P 수은 원자 농도 측정 연구, 공통 모드 전압 감소를 위한 3상 2레벨 무변압기 ... 연료전지의 구조적 및 전기화학적 특성 연구 등을 하고 싶습니다.저는 또한 SMES 시스템용 초전도 코일의 제조 및 테스트 연구, 다구치법을 이용한 제한된 조건에서의 모터 개선 설계 ... 마이크로그리드의 확장성 향상을 위한 유연한 제어 구조 연구, 실시간 디지털 시뮬레이터를 이용한 후류 효과 하에서의 기계 학습 기반 예측 방법 검증 연구, 인터커넥트 일체형 고체산화물
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.29
  • [기계공학][실험보고서][자동제어][Qube-Servo moter][Labview][DC Motor][Encoder][Filter]
    실험 이론(1) DC Motor? DC 모터는 stator(정류자)와 rotor(회전자)로 구성되어 있다.? ... DC Motor와 Encoder&Filtering의 작동 원리를 실습을 통해 학습한다.Ⅱ. ... 토크와 전류의 관계 :(3) Encoder of a Motor? Encoder는 모터의 방향과 속도를 알게 해준다.?
    리포트 | 11페이지 | 10,000원 | 등록일 2019.11.29 | 수정일 2024.04.11
  • HD현대중공업 R&D(연구개발) 합격자소서
    전기 자동차용 제어 보드 개발 과제를 진행했습니다. ... 전동기의 토크 맥동을 일으키는 기존의 방법들을 보완하기 위해 토크와 무관한 동기 좌표계 d축 전류를 이용하였습니다. ... Reduced DC-Link Capacitor' 시스템은 일반적인 3상 인버터 구성에서 시스템의 신뢰성 및 원가에 문제가 되는 캐패시터 용량을 저감하는 내용입니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.09.18
  • 통신공학실습 이주한 9주차 FFT분석 및 harmonic oscillator적 관점 포함
    주파수에 비례한 DC 전압출력을 하는 회로.입력 주파수에 비례하는 아날로그 출력 전압을 발생하는 장치나 모터의 속도 제어, 주파수의 모니터, 전압 제어 발진기(VCO)의 안정화에 ... 실생활에 사용되는 예를 조사하시오.입력 주파수에 비례한 아날로그의 출력 전압을 발생하는 장치나 모터의 속도 제어, 주파수의 모니터, 전압 제어 발진기(VCO)의 안정화에 사용한다. ... 이 방전이 완전히 이루어지기 전에 다음 입력 사이클이 들어와서 refresh가 되어 DC 전압원처럼 이용할 수 있다.
    리포트 | 8페이지 | 2,500원 | 등록일 2020.12.23 | 수정일 2021.10.05
  • 식물 수확기 로봇의 대표적 사례
    개의 유압실린더, 그리고 하나의 DC모터에 의해 구동하였다. ... 수확용 선단장치는 그리퍼, 커터 그리고 제어기로 구성되었다. ... 측정하기 위한 기계시각과 높이센서, 그리퍼의 파지력을 제어하기 위한 퍼지제어기 등으로 구성된 상추 수확 로봇 시스템을 개발하였다.
    리포트 | 17페이지 | 3,000원 | 등록일 2023.11.02
  • 부산대학교 기계공작법실습 보고서 범용밀링
    일반적으로 DC모터는 회전 제어가 쉽고 제어모터로서 아주 우수한 특성을 가지고 있다고 할 수 있다. ... 범용밀링머신에는 일반적으로 대형 DC모터가 장착되어 있다.DC모터란 고정자로 영구자석을 사용하고 회전자(전기자)로 코일을 사용하여 구성한 것으로, 전기자에 흐르는 전류의 방향을 전환함으로써 ... DC 모터는 기동 토크가 크며 인가전압에 대하여 회전특성이 직선적으로 비례한다. 그리고 입력전류에 대하여 출력 토크가 직선적으로 비례하며 출력 효율이 양호하다.
    리포트 | 20페이지 | 1,000원 | 등록일 2021.11.12
  • 기계공학실험1(구 기계공작법실습) 밀링 10점만점 실험 보고서
    제어판 좌측의 DC모터 스위치를 내려서 끈다.b. 제어판 우측의 비상버튼을 눌러 작동시키고, 전원스위치를 끈다.c. ... 제어판 장비 우측의 비상버튼을 시계방향으로 돌려 작동해지 시킨다..b. 제어판 좌측의 DC모터 스위치를 위로 올려 주축을 회전시킨다.c. ... 실습 진행 순서1) 안전확인조치를 시행한다.- 밀링 머신의 전원 스위치 Off를 확인한다.- DC모터 스위치의 Off를 확인한다장치 작동방법 (소형 CNC 밀링 작동법)① 공작물의
    리포트 | 9페이지 | 2,000원 | 등록일 2023.01.06
  • 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 결과보고서
    때문에회전각을 정확하게 제어할 수 있다.2DC서보모터제어하기 위해 모터를 구동시키는 드라이버가 필요하며,이때 PWM, Direction 신호 등이 필요하다.3RC서보모터는 내부에 ... 스텝 모터 및 RC 서보모터1. 실험 목적본 실험에서는 스텝 모터와 서보모터의 사용법에 대해 다룬다. 모터는 전동기, 우리가 흔히 알고 있는 발전기의 그것이다. ... 드라이버가 포함되어 있으며 PWM 신호만으로 제어할 수 있다.4RC서보모터에서 사용하는 PWM 신호는 그림과 같이 일반적인 펄스 신호와매우 다른 형태를 가지고 있다.5제어신호는 주기적으로
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.06
  • [종합보고서] 다이소 로봇청소기 개조 및 스마트폰 통신 프로젝트 아두이노, mp3 module, DFPlayer, 블루투스모듈
    바퀴용 모터, AA*3 배터리팩, 흡입용 모터, AA*2 배터리팩, N20 소형 DC모터 2EA, 모터드라이버용 2핀 케이블 선 납땜 작업분 류내 용프로젝트명최저가 로봇청소기: 다이소 ... 배터리팩 1EA블루투스 모듈(HC-06) 1EA소형 DC 모터(N20) 2EADC 모터 드라이버 모듈(L9110 Dual) 2EAmp3 모듈(DFPlayer Mini) 1EA스피커 ... 회전 방향을 제어 가능분 류내 용프로젝트명최저가 로봇청소기: 다이소 부직포 클린로봇의 변신개발기간2022년 09월 11일 ~ 2022년 12월 03일하드웨어 구성세부 진행 내용구매한
    리포트 | 18페이지 | 10,000원 | 등록일 2022.12.19 | 수정일 2023.05.09
  • (08주차)Project#2 압력 센서
    달링턴 회로를 구성한 후에 출력 전압에 DC 5V를 추가하여 모터를 돌리게 된다.중간에 다이오드가 있는것에 대해 생각을 잠깐 해보았는데 Motor(-), Motor(+)에 걸리는 전압의 ... 달링턴 회로를 쓰는 이유는 DC모터가 전류를 많이 잡아먹는 특성이 있는데 이를 구동하기 위하여 트랜지스터 2개를 접속하여 전류이득을 증폭시키는 달링턴 회로를 쓰는것이다. ... 제어할 수 있다.실험결과실험 1 : ( LM7805,LM7809,LM7905,LM7909 출력확인 실험 )실험결과 값:LM7805LM7809LM7905LM7909실험결과분석 : 정전압
    리포트 | 8페이지 | 1,000원 | 등록일 2020.02.22 | 수정일 2022.07.04
  • 부산대학교 기계공학부 기계공학실험1 A+ 선반보고서(2022년 1학기)
    안전을 위해 전원 스위치와 DC모터 스위치가 OFF로 되어있는지 확인하고, 비상버튼을 눌러서 작동시킨다.② 공작물좌표 원점을 맞추기 위해 선반 척을 분해하고 축에 영점센터조립품을 결합한다 ... ON한 후, 선반프로그램에서 실행버튼을 누른다.⑫ 보안경을 쓴채로 가공이 완료될 때 까지 기다리고, 가공이 끝나면 DC모터 스위치를 OFF하고, 비상버튼을 누르고 전원스위치를 OFF한다 ... .③ 컴퓨터와 제어판 장비 전원을 키고, 선반 batch파일을 실행시키고, ‘n’을 입력한다.④ CNC 선반프로그램 화면 좌상단 비상버튼을 클릭하여 비상해제하고, 우측에 있는 프로그램상의
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.11
  • (응용공학실험) LabView를 이용한 서보모터 제어 (A+자료입니다)
    이것을 피드백 제어라고 하며, 서보기구의 본질이라고 할 수 있다.② Servo Motor의 분류- DC Servo Motor아래의 그림은 영구자석 측을 고정하고 도선측이 회전하는 구조이다 ... 다음 그래프는 Unit step (R=1) 기준 입력에 대한 PID 제어 시스템의 응답 특성을 잘 보여준다.※ 서보모터(Servo Motor)의 구동원리① 서보(Servo)의 정의서보는 ... 상자 윗부분에는 모터가 있고 밑에는 Encoder와 변압기가 있다. 뿐만 아니라, 모터에는 기어박스 감속기가 달려있어서 10:1의 비를 지니고 있다.
    리포트 | 31페이지 | 3,000원 | 등록일 2020.02.01 | 수정일 2021.04.22
  • 미래컴퍼니 합격 자소서 (STAR 기법 적용, 자소서 전문 컨설팅 자문으로 작성)
    Motor 프로젝트 ]매니퓰레이터를 모델링하여 DC 모터 제어설계 해본 경험이 있습니다. ... 프로젝트의 목적은 0.5m 알루미늄 재질을 모델링하여 이때 맞는 모터를 설계하여 제어까지 해보는 과제였습니다. ... 이후 제가 구한 방정식이 안정성이 확보돼 있는지는 판별법으로 확인하였고, 모델링과 모터사양의 안정성에 적합하다 판단되어 시물링크를 통해 P.I.D제어의 최적화된 값을 찾아보았습니다.
    자기소개서 | 7페이지 | 3,000원 | 등록일 2020.06.11
  • 한국전력공사 전기설비직 합격자소서서
    그래서 DC모터 속도제어기를 납땜을 통해서 만들었습니다. ... 안타깝게도 입상은 하지 못했지만, 저의 신념과 열정을 바탕으로 여러 번 도전한 결과 끈기를 가지고 도전하면 무엇이든 할 수 있다는 것을 배울 수 있었습니다. ... PID제어와 여러 필터와 프로그래밍을 공부해서 완벽하게 만들기 위해 노력했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.09.04
  • 어로 작업용 연승기 전동기의 PWM 속도제어기에 관한 연구
    해양환경안전학회 브엉득푹, 배철오, 안병원
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 예비보고서
    Specification엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... 실험 결과예상1) 비례 제어기를 이용하여 K_p = 1로 설정하고 얻은 결과로 모터의 단위 계단 응답 특성을 구하고 정상상태 오차를 구한다.비례 제어에 대한 단위 계단 (1차 sys ... Placement모터를 구동시키기 위해서는 전력 증폭기가 필요하다. 이 실험에 사용되는 전력 증폭기는 OP amp용 직류 전원과 선형 전력 증폭기로 구성되어 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:01 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대