• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,523)
  • 리포트(1,361)
  • 논문(68)
  • 시험자료(44)
  • 자기소개서(21)
  • ppt테마(11)
  • 서식(10)
  • 방송통신대(6)
  • 이력서(2)

"load design" 검색결과 121-140 / 1,523건

  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    Liquid-crystal_display" https://en.wikipedia.org/wiki/Liquid-crystal_display - LCDfundamentals of logic design ... process(FPGA_RSTB, CLK)-값 연산 process입력된 값들을 연산하는 Process로, 각 버 1이 반복된다.2. process(FPGA_RSTB,clk_100k,load ... _100k의 값을 1혹은 0으로 결정한다.Process(FPGA_RSTB, clk_100k, load_50 ,cnt_50)만들어진 100Khz 클럭을 기준으로, 50hz의 클럭을 만드는
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 비선형 동적해석을 이용한 축하중과 폭발하중을 동시에 받는 철근콘크리트 부재의 구조 거동 분석
    한국전산구조공학회 이승훈, 김한수
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.10
  • [연세대학교 물리학과 물리학실험(A-1)] 11번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    We concluded the low load resistance brings high frequency range. ... DiscussionWe designed a FET switch circuit and tried to find the cotion ... In conclusion, the load resistor with low resistance allows the FET switch circuit to operate well in
    리포트 | 11페이지 | 5,000원 | 등록일 2019.07.23
  • DC motor 속도를 제어하는 report (영문자료)- Develop the model for a DC motor
    is applied (35P)Design a controller with a notch filter that accomplishes a reasonable overshoot and ... SISO ( Matlab) can be used for an effective design. (10P)I have to find Notch filter gain Kd.D_s = 0.000683 ... The moment of inertia of the load is twice as the moment of inertia of the motor, Jl =2Jm.
    시험자료 | 12페이지 | 1,500원 | 등록일 2020.04.20
  • 조선 공학 개론 중간고사 총정리
    도면 표Stage영역영업 설계(조기)기본 설계(기본도)(Basic Design)상세 설계(상세도)(Detail Design)생산 설계(설계도)(Production Design)기본( ... 만재 흘수(Full Load Draft): 배의 항해 “안전”상 허락되는 최대의 흘수(7). ... 상선(상업적 목적)- “여객선”/ “Unit Load(단위 적재)선”/ “산적(Bulk) 전용선”/ “겸용선”/ “특수 화물선”/ “유조선”(2).
    시험자료 | 20페이지 | 1,500원 | 등록일 2021.05.02
  • LG생활건강 경영전략과 SWOT분석 및 향후방향제시
    더페이스샵 인수 이후, 긍정적인 효과를 기대하며 LG생활건강은 Prestige 시장뿐 아니라 로드샵 채널의 CRM시스템에도 더욱 많은 투자를 하고 있다.(2) WeaknessLG생활건강의 ... LG생활건강 디자인 센터를 개설하여 Industrial Design (생활용품, 화장품 등의 용기 및 제품 디자인), Package Design (생활용품, 화장품 포장 및 그래픽 ... 스타일 등 디자인 트렌드 와 시장조사 분석), Preceding Design Proposal (선행 디자인 제안), Global Design (생활용품, 화장품 해외 수출 디자인)
    리포트 | 9페이지 | 3,800원 | 등록일 2020.04.24
  • Relatively Simple CPU의 simulator 활용 프로그래밍
    과제 내용 및 목표Write a program for a Relatively Simple CPU designed in Ch. 6 and enter the program and step ... R의 i 값, N과 I가 같을 때 LOOP1)SUBJMPZ LOOP1LDAC 100100번지의 값 Load루프의 두 번째 연산첫 번째 메모리 값과 두 번째 메모리 값을 더하여두 번째 ... 변수 값을 1증가 시킴MVACR ← iLDAC 101AC의 값을 101번지에 LoadADD100번지 값 + 101번지 값STAC 100ADD의 값을 저장LDAC 102102번지 값 Load
    리포트 | 6페이지 | 2,500원 | 등록일 2020.11.07
  • pathways4 4과 CHANGING FASHION 본문+해석
    로드 등 실로 비싼 몇몇 장소에서 찾을 수 있다.C.Rule number two: Avoid stock-outs (running out of in-demand items). ... 현재 88개국에 5천 개 이상의 점포를 가지고 있으며, 이 점포들은 파리의 샹젤리제, 뉴욕의 5번가, 달라스의 갤러리아, 도쿄의 긴자, 홍콩의 퀸즈 로드 센트럴, 상하이의 화이하이 ... This means it can design, produce, and deliver a new item of clothing to its stores in a mere 15 days
    리포트 | 4페이지 | 2,000원 | 등록일 2019.12.16 | 수정일 2021.09.23
  • 나강 M1895 권총의 디자인과 역사 (영문레포트)
    process even more as the cylinder had to be manually rotated and each spent casing had to be emptied and loaded ... Eventually in 1886, they commissioned Belgian Industrialist Léon Nagant and his brother, Emile, to design ... Accessed 15 October 2016Thus designed specifically to house 7.62x38mmR cartridges, the cylinder of the
    리포트 | 9페이지 | 1,000원 | 등록일 2020.11.01 | 수정일 2020.11.08
  • Computer System ) 다중 프로세서 스케줄링을 요약하고 설명하는 보고서 작성
    disadvantage of single-queue multiprocessor scheduling.you may arrange the jobs A through D to remain in a designated ... The work load has improved(from left to right) by work stealing.Currently, there are three schedulers ... If the target queue(the queue which the CPU is peaking) is more full in work load than the source queue
    리포트 | 5페이지 | 5,000원 | 등록일 2021.07.19
  • 루버(SKIN DESIGN)
    Skin Design< 목 차 >1. 루버 [louver] 란?2. 루버(외부차양)의 특성3. 루버(외부차양)의 효과4. 시장동향5. 루버 : 로드 ? 로타리형 비교6. ... 루버 : 로드 ? ... 비교로타리형1) 각도 조절 봉이 없으며 뒤쪽의 로타리가 전체를 연결2) 빗살 하나를 잡고 각고를 조절 함.3) 밋밋한 것 같으나, 심플한 멋을 추구4) 미서기, 3연동, 포켓도어 등에 적용로드형1
    리포트 | 11페이지 | 2,500원 | 등록일 2022.11.02
  • SOA 및 MSA 개념
    Micro-service Layer 연계- API에 대한 Endpoint를 통합- RESTful 기반의 Request, Response 관리- API Policy Management- Load ... MSA 도입/운영시 예상 이슈 및 해결 방안구분예상 이슈해결 방안개발 관점- Micro 서비스 설계 복잡성/모호성 존재- DDD(Domain Driven Design) 설계기법 활용 ... 별도 MSA 도입 및 단계별 전환 등 아키텍처 구성전략 고려- 서비스 단위 정의- 마이크로서비스를 구분하는 상호 독립적 서비스단위 분리 기준 고려- DDD(Domain Driven Design
    리포트 | 5페이지 | 1,000원 | 등록일 2024.03.11
  • 2020 하반기 ASML 영문 자소서 양식 및 구체적 내용
    To determine the diameter of the shaft, a two-point load was assumed to be 10mm considering the key grooves ... out of gear, a steel rod was inserted in the center to increase the intensity.Next, we checked the design ... undercuts, the height of the undercut was lowered by 3mm from the beginning and the pressure angle was designed
    자기소개서 | 3페이지 | 5,000원 | 등록일 2021.02.21 | 수정일 2021.03.31
  • 2-1 PM직무-직무에서 가장 많이쓰이는 용어-PM직무이해하기
    현지 법규와 조례 General Documents for License Environment Protection General Fire Protection Design Standards ... Capacity Site Area Floor Load Capacity Building / Process Layout Building Area Expansion Area 2. ... Electric Supply for Lights Truss Clearance Electric Supply for Control Panels Roof Slope Air Supply Truss Load
    리포트 | 8페이지 | 2,500원 | 등록일 2022.03.22
  • 물리전자 과제
    The maximum power delivered to a load by this solar cell occurs when the product Vlr is a maximum.V = ... The fill factor (ImVm / IscVoc) is a figure of merit for solar cell design. Please explain why? ... Please explain it in terms of the figure of merit for photodetector design.One of the important indexes
    리포트 | 3페이지 | 1,000원 | 등록일 2019.11.29
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    sw_moven : std_logic := '0';signal sw_all : std_logic := '0';signal sw_store : std_logic := '0';signal sw_load ... std_logic;loadkey : in std_logic;beep : out std_logic;led : outctor(6 downto 0));end test7777;architecture design ... STORE & RESET저장과 로드기능은 버튼을 누르면 상하의 세그먼트가 각각 서로의 값을 리턴 할 수 있도록 구성하였습니다.
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 뷰티 비즈니스 모델
    고객은 단지 제품 또는 서비스의 구매자로서 공급자 위주의 산업 환경 조성 생산 안정적인 생산 라인과 막대한 자본을 투입하여 R D 및 생산 , 규모의 경제 중시 판매 오프라인 위주의 로드샵 ... 것이 아닌 위탁 생산 모델 증가  비용 절감 , 브랜딩에 집중위탁 생산 모델 1 OEM (Original Equipment Manufacturing) ODM (Original Design
    리포트 | 15페이지 | 2,000원 | 등록일 2020.12.29 | 수정일 2021.01.02
  • 고체역학설계실습 tensile test A+보고서
    Part of Engineering stress and straince in length between the load nut and dial indicator to determine ... DOI:10.1590/S1516-*************0123[2] Solid Mechanics Design Laboratory 2024 experiment part orientation
    리포트 | 28페이지 | 2,500원 | 등록일 2024.06.30
  • 세포배양 DNA molecular techniques 예비 레포트
    objectives are:�� To understand the principle of RNA extraction, reverse transcription, and primer design ... into 3 walls(20レl each well).�� Program to appropriate settings and start running the PCR machine to load ... buffer, and 4レl ddH2O.�� Load the samples into the well.�� Run the apparatus at 100 Volts, for 35 minutes
    리포트 | 4페이지 | 1,000원 | 등록일 2020.03.25 | 수정일 2020.03.27
  • 컬러리스트기사 필기 요점정리
    .- 황색 : 대지와 태양의 색(아시아의 색, 불교문화권)- 청색 : 하늘과 바다의 색(실크로드 문화의 색 )- 빨강 : 중국- 검정 : 죽음, 침묵, 비애의 색- 보라 : 고귀함, ... 제품디자인 프로세스(product design process)계획 - 컨셉 결정 ? 스케치 ? 렌더링 ? 목업 ? 도면화 ? 모델링 ? ... 디자인(design)이란 프랑스어로 데생(dessein), 이탈리아어의 디세뇨오(disegno), 라틴어의 데지그나레(designare)를어원으로 하는 용어?
    시험자료 | 42페이지 | 15,000원 | 등록일 2022.12.05
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:20 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기