• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,027)
  • 리포트(1,832)
  • 시험자료(117)
  • 자기소개서(21)
  • 논문(16)
  • 방송통신대(16)
  • 서식(11)
  • 이력서(9)
  • ppt테마(5)

"o2 supply" 검색결과 121-140 / 2,027건

  • [A+] 전기회로설계실습 예비보고서 11. 공진회로(Resonant Circuit)와 대역여파기 설계
    실습 준비물* 기본 장비 및 선Function generator: 1 대DC Power Supply(Regulated DC Power supply(Max 20 V 이상): 1대Digital ... 반전력 주파수w _{1} =- {w _{o}} over {2Q} +w _{o} sqrt {1+( {1} over {2Q} ) ^{2}} =61820.9=2 pi TIMES f _{1 ... 반전력 주파수w _{1} =- {w _{o}} over {2Q} +w _{o} sqrt {1+( {1} over {2Q} ) ^{2}} =95151.852=2 pi TIMES f _
    리포트 | 6페이지 | 1,000원 | 등록일 2022.01.10 | 수정일 2022.03.11
  • 4. 신호 발생기 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    board)1개파워서플라이 (Power supply)1대점퍼선다수4-3. ... 따라서{V _{O}} over {V+} =1+ {R _{2}} over {R _{1}}에서{R _{2}} over {R _{1}} =`2 이므로 가변저항의 값은R _{`2} `의 값이R ... simulationR` _{VAR``1} ``=`3`k ohm`,R` _{VAR``1} ``=`7k` ohm`일 때 FFT plot simulation위와 같이R` _{VAR``}
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
  • [실험보고서] A+ 받음 물리학 및 실험 1 보고서 - 중력가속도 1
    (단.g=9.8m/s ^{2})이 때 가속도는 시간에 따라 변하지 않으므로 다음 식이 성립 된다.D=v _{o} t+ {1} over {2} at ^{2} (1) (단,t = 경과한 ... air supply)포토게이트 타이머(photogate timer),포토게이트(photogate)글라이더(glider),플래그(flag)기타(미터자, 받침목, 수평자)4)실험방법? ... 시간 ,D = 움직인 거리,v _{o} = 처음 속도)빗면 위에 물체를 가만히 놓을 때는v _{o} =0 이고 위에서 구함과 같이 가속도a`=`gsin theta 이기 때문에 위식을
    리포트 | 5페이지 | 1,000원 | 등록일 2021.08.18
  • 간호학과석사 병태생리학 심근경색PPT발표
    2 demand of myocardial cells Inadequate creates an O 2 deficit Blood supply myocardial cell death inflammation ... 병변의 파괴 → 노출된 콜라겐 층에 혈소판 고 착 응집 → 혈소판 활성화 (ADP-adenosine diphosphate ,thromboxane A2, serotonin 분비 : ... Myocardial Infarction 석사 O 기 OOOIntroduction Myocardial infarction (MI) refers to the process by which
    리포트 | 31페이지 | 4,000원 | 등록일 2020.12.11 | 수정일 2021.06.18
  • 시립대 - 전자전기컴퓨터설계실험 1주차 예비리포트
    특성실험 장비 및 재료- 실험할 때 사용하는 장비 및 실험 재료를 수록한다.실험 방법 및 예상 실험 결과참고문헌서론실험 목적이 실험은 기본적인 실험장비인 Power supply와 Multimeter의 ... 0~15V/7A OR 0~30V/4A) power supply멀티미터 사용방법1) [디지털 멀티미터: Agilent 34405A]■ 측정 값 및 측정 방법▶ Resistance/Capacitance ... mode): CH1과 CH2를 병렬로 연결-3A 이상의 높은 전류가 요구될 때 사용2) [전원공급기: Agilent E3632A]■ single-output dual range (
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.12
  • 워킹데드 2화 4편
    normal, they divide team to find sofia again.Maggie says we are out of food, medical supplies, and she ... it is a zombie.Water is a must-have food, so people decide to kill zombies in the well.they need bait ... ■ 워킹데드 시즌2 4화릭의 나머지 일행들도 차를 끌고 허셜의 농장으로 이동한다수술은 성공적으로 이뤄졌고 칼은 결국 깨어난다.릭의 일행과 허셜 가족들은 죽은 오티스를 위해 장례를 치뤄주고셰인에게
    리포트 | 2페이지 | 1,000원 | 등록일 2022.06.12
  • 아날로그 및 디지털회로설계실습 실습2(Switching Mode Power Supply (SMPS)) 결과보고서
    Function generator의 Vpp = 2.5V, OffSet = 1.25 V, Frequency = 12.5 ㎑로 설정해주었다.③ 입력 전원 Vi 에 Power supply를 ... 이용하여 전원을 넣는다.→ [그림 6]을 보았듯이 Power supply를 이용하여 Vi에 5 V를 인가하였다.④ Vref 전압을 변경(동작전압인 0~10V)하고 동작하는 구간과 ... 따라서 옴의 법칙에 의해R= {V _{o}} over {I _{o}}가 되는데 부하저항의 값에 따라서 출력전압이 변화를 하게 된다.2-5-3 전체적으로 설계실습이 잘 되었는가?
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.24
  • 코리아헤럴드 기사 South Korea to resume first-round vaccinations after supply restored 20210516
    이달 초 화이자 백신의 부족으로 화이자 백신 2차 접종은 일시보류 연기되었다.The unstable supply put a stop to the use of Pfizer’s vaccine ... Their use was suspended early this month so the scarce (부족한 드문) resource could be reserved(보류 유보하다) for ... South Korea to resume first-round vaccinations after supply restored 20210516South Korea will resume
    리포트 | 3페이지 | 1,000원 | 등록일 2021.05.16 | 수정일 2023.07.11
  • 기초전자실험 결과레포트 - 공통 베이스 및 이미터 폴로어 (공통 컬렉터) 트랜지스터 증폭기
    실험 장비ⅰ) 계측기- 오실로스코프- 디지털 멀티미터(DMM)- 함수 발생기ⅱ) 전원- 직류 전원 공급기(DC supply)iii) 저항- 100OMEGA (1), 1k OMEGA ... sig} -V _{i} )} R _{x}Z _{o} =`R _{C}2) 교류 출력 임피던스:Z _{o} =` {(V _{o} -V _{L} )} over {V _{L}} R _{L} ... -2.261V} over {2.29V} TIMES 100%=1.27%##V _{E} = {1.59V-1.621V} over {1.59V} TIMES 100%=1.95%##V _{C}
    리포트 | 24페이지 | 2,000원 | 등록일 2023.02.19
  • 시립대 전자전기컴퓨터설계실험 1주차 결과리포트
    특성실험 장비 및 재료실험 결과토론결론참고문헌서론실험 목적이 실험은 기본적인 실험장비인 Power supply와 Multimeter의 사용법을 이해하고, 이것을 이용해서 저항의 값과 ... 목적인 기본 실험 장비의 사용방법을 익혔고, 저항에 대해 알아보았고, Power supply, 멀티미터로 회로에 흐르는 전압과 전류의 측정하여 오차를 알아보는 실험을 하였다. ... 오차율은 각각 4%, 6%, 0.3%, 1%, 2%로 나왔다.실험에서 기계적인 오차는 power supply로 전원 공급을 할 때 완벽하게 이론값과 같은 값을 주었다고 할 수 없고,
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.12
  • [연세대학교 물리학과 물리학실험(A-1)] 10번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    of 1.25V is 5.2V. ... Specifically, there is a given intermediate voltage of 1.25V between the output and the adjustable nodes, so ... shunt regulator works by providing a path from the supply voltage to ground through a variable resistance
    리포트 | 12페이지 | 5,000원 | 등록일 2019.07.23
  • 전기전자공학실험-공통 베이스 및 이미터 폴로어
    실험 장비ⅰ) 계측기- 오실로스코프- 디지털 멀티미터(DMM)- 함수 발생기ⅱ) 전원- 직류 전원 공급기(DC supply)iii) 저항- 100OMEGA (1), 1k OMEGA ... sig} -V _{i} )} R _{x}Z _{o} =`R _{C}2) 교류 출력 임피던스:Z _{o} =` {(V _{o} -V _{L} )} over {V _{L}} R _{L} ... -2.261V} over {2.29V} TIMES 100%=1.27%##V _{E} = {1.59V-1.621V} over {1.59V} TIMES 100%=1.95%##V _{C}
    리포트 | 24페이지 | 2,000원 | 등록일 2023.02.14
  • 디지털시스템실험 2주차 예비보고서
    HBE-COMBO2-DLD PIN Map실험에 사용되는 HBE-COMBO2-DLD FPGA 보드는 다음과 같은 I/O 들로 구성되어 있다.A. Clock B. ResetC. ... 있다.alwaysandassignattributebeginbufbufif0bufif1casecasexcasezcmosdeassigndefaultdefparamdisableedgeelseendendattributeendcaseendfunctionendmoduleendprimitiveendspecifyendtableendtaskeventforforceforeverforkfunctionhighz0highz1ififnoneinitialinoutinputintegerjoinmediummodulelargemacromodulenandnegedgenmosnornotnotif0notif1oroutputparameterpmosposedgeprimitivepull0pull1pulldownpulluprcmosrealrealtimeregreleaserepeatrnmosrpmosrtranrtranif0rtranif1scalaredsignedsmallspecifyspecparamstrengthstrong0strong1supply0supply1tabletasktimetrantranif0tranif1tritri0tri1triandtriortriregunsignedvectoredwaitwandweak0weak1whilewireworxnorxor ... Programmable Gate Array)FPGA는 1985년 미국 Xilinx사에서 최초로 개발된 PLD(Programmabe Logic Device)로써 디바이스 주변에 입출력용 I/O블록이
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • [물리학실험]Hall Effect & Helmholtz Coil
    이때 두 코일에 흘려주는 전류의 방향이 동 일하도록 코일과 power supply를 연결해야 한다. ... 전자석 사이에 시료와 gaussmeter를 설치하고 전 자석에 constant current power supply를, 시료에 Hall effect se을 측정하였을 경우와 gaussmeter로 ... 축 상의 점{dot{o}}의 자기장은 각각 다음과 같다.Left coil :B _{l} `=` {mu _{0} N`Ia ^{2}} over {2((x+a/2) ^{2} +a ^{2}
    리포트 | 11페이지 | 4,200원 | 등록일 2022.09.27 | 수정일 2022.09.29
  • [물리전자2] 과제7 내용 요약 8단원 Optoelectric devices
    The 4th quadrant is without a bias source, so the p-n junction functions like a battery supplying power ... Why is there so much interest in short wavelength emitters such as a blue LED? ... forward-biased, so the current flows forward, i.e., from p to n.
    리포트 | 3페이지 | 2,500원 | 등록일 2023.12.21 | 수정일 2023.12.30
  • 전기회로설계실습 예비보고서4
    준비물* 기본 장비 및 선 Function generator: 1 대DC Power Supply(Regulated DC Power supply(Max 20 V 이상): 1대Digital ... 저항계(DMM)의 위치를 명시하라.DMM의 측정단위를 Vdc로 맞춘다.빨간색 리드선은 (V, Hyperlink "https://ko.wikipedia.org/wiki/%CE%A9" \o ... ㏀, 3.3 ㏀가변저항: 20 ㏀ , 2 W급 2개3.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.09.06
  • Calcuation of reaction enthalpy(반응엔탈피 계산) 실험 보고서(영문)
    Pr the initial temperature and turn on the power supply.4. ... of moles reacted is 5 mmol (25 mmol of NaOH, 5 mmol of HCl, the limiting reagent is HCl and 5 mmol), so ... MaterialsEquipment: calorimeter, power supply, thermometer, beakerReactant: 1M NaOH, 36%HCl, D.I waterⅣ
    리포트 | 4페이지 | 2,000원 | 등록일 2021.12.16
  • 분자생물생화학실험(western blotting)
    rad pretein assay solution,H _{2} O, BSA(bovine serum albumin)4. ... Electrophoresis1) 실험기구 : Loading tank, micro pipet, tip, power supply2) 실험재료 : Tank buffer, ladder, plant ... Western Blotting : Electrotransfer1) 실험기구 : Tray, Holder, pad, Tansfer 장치, power supply2) 실험재료 : Transfer
    리포트 | 9페이지 | 2,500원 | 등록일 2021.02.25
  • 국제무역 영어강의 정리 ch3
    , should know relative price of two goods(= P of one good in terms of the other)2)competitive econ, supply ... (in this case aLW X Qw ≤ L(즉 on and inside of PPf)2. relative prices and supply-producing a goawsdn comparative ... in terms of such o.c로 정의했다)결국, Home has comparative advantage in cheese(주의: compare 2 countries’ unit
    시험자료 | 13페이지 | 1,500원 | 등록일 2019.09.11
  • 워킹데드 시즌7 6화
    Tara was on her way with Heath in search of supplies. ... And there was someone next to him who looked like a zombie, so he tried to stab the girl with a bamboo ... 하지만 알렉산드리아를 알아보러 함께 동행한 군사 2명이 마음을 바꿔 그녀를 중간에 죽이려 했지만 역시 이번에도 신디가 나타나 그녀를 구해주었으며 다시는 여기로 오지 말라고 약속을 합니다
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.17
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:18 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기