• 통큰쿠폰이벤트-통합
  • 통합검색(17,508)
  • 리포트(16,085)
  • 시험자료(562)
  • 자기소개서(502)
  • 방송통신대(276)
  • 논문(62)
  • 서식(13)
  • 이력서(3)
  • ppt테마(2)
  • 노하우(2)
  • 표지/속지(1)

"디지털신호" 검색결과 141-160 / 17,508건

  • 영상을 이용한 디지털 신호처리 4장 연습 문제
    푸리에 급수: 푸리에 급수는 무한 개의 정현파(sine)와 여현파(cosine)의 가중합으로 표현하므로,동일하게 x(t)=cos (200πt)다.푸리에 변환: X(jΩ) = πδ(Ω- 200π) + πδ(Ω+200π)푸리에 급수: 푸리에 급수는 무한 개의 정현파(sin..
    리포트 | 4페이지 | 1,000원 | 등록일 2015.04.20
  • DSP(디지털신호처리) Matlab(HW1) 매트랩과제1 나이키스트이론(Nyquist Theory)
    (a) Determine from its spectrum. (b) Write a matlab function 'DiscreteSignal' that produces discretized version of . The matlab file "DiscreteSignal...
    리포트 | 36페이지 | 2,000원 | 등록일 2019.01.27 | 수정일 2019.02.05
  • [DSP] 전북대 디지털신호처리 레포트 모음
    Discrete-Time System이산 시간 시스템: 모든 신호들이 이산 신호인 시스템 → 이산 입력을 이산 출력으로 변환연속 시간 시스템의 선형, 시불변, 인과 관계 등의 개념은 ... 그대로 적용 됨임의의 신호 x(n): 변이된 단위 샘플 함수의 가중합(weighted sum)x(n)`=` sum _{k=- INF } ^{INF } x(k) delta (n-k)
    리포트 | 9페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 디지털신호처리(DSP/Digital Signal Processing) IIR필터설계(MATLAB구현)
    DSP IIR필터설계 MATLAB코드자료입력신호는 u[n]이고, causal하면서 stable한 y[n]을 출력하기 위해 pole, zero의 위치를 출력하는 코드입니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2014.07.14
  • 아날로그 디지털 신호 및 AD컨버터(AD converter)
    처리하고자 하는 데이터는 아날로그 데이터와 디지털 데이터가 있고, 실제 전송시에는 신호의 형태로 전송이 되며, 데이터와 마찬가지로 아날로그 신호디지털 신호 두 가지가 있다.일반적으로 ... 인코딩 기법(1) 아날로그 데이터 - 디지털 신호데이터 통신 산업은 계속해서 디지털 형태의 데이터 전송으로 향하고 있다.이러한 디지털 형태의 통신이 주목을 끄는 이유는첫째, 디지털 ... 송신측(디지털) → 전송 회선(아날로그) → 수신측(디지털)1 1 1 0 1 1 0 1 1 1 0 1 1 0디지털/아날로그 신호로 변환(1) 데이터 전송 속도(bps)bps(bit
    리포트 | 15페이지 | 2,000원 | 등록일 2014.09.24 | 수정일 2016.04.11
  • 디지털 시스템실험, Verilog 코딩, Sequential Circuit (신호등) 구현, FPGA보드에서 신호등 사진 결과
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서실험제목Sequential Circuit 설계 및 구현실험목표① 동기식 UP/DOWN ... (신호등을 설계하였다)실험결과이번 실험은 각종 Flip-flop을 구현하고 최종적으로 이를 이용하여 BCD Ripple Counter와 Register를 이용한 한자리 정수 덧셈 뺄셈 ... FPGA보드에 연결하자 Clock에 변화에 맞춰서 신호등이 바뀌는 것을 확인하였다.토의이번 실험은 저번 주에 실험했었던 비동기식, 즉 Clock pulse가 모두 동시에 들어가지 않아
    리포트 | 3페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.16
  • DSP(디지털신호처리) Matlab(HW2) 매트랩과제2 이동평균필터(Moving Average Filter)
    하지만 원래 신호 역시 많이 필터링 되어서 원래 정보를 제대로 알 수 없게 된다. ... 그만큼 대부분의 주파수 성분을 포함하기 때문에 원래 신호와 크게 차이가 없을 것이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2019.01.27
  • [아날로그및디지털회로설계실습A+] 신호발생기 예비 레포트 입니다
    아날로그 및 디지털 설계 실습5# 신호발생기예비 레포트설계실습 5. 신호발생기1. ... 목적 : Wien bridge RC 발진기를 이용하여 신호 발생기를 설계, 제작, 측정하며 그 동작을 확인한다.2. ... (a) 신호발생기 회로도Figure 3. (b) Time domain outputFigure 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • 사운드 신호의 분류에 대해 설명하시고, 아날로그 신호디지털 신호의 처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding)에 대해 설명하시오
    디지털 신호로 출력하는 것을 의미한다. ... 양자화 과정에는 필연적으로 오차가 발생하는데 이는 연속된 아날로그 신호를 단절된 디지털 신호로 변환하기 때문이다. ... 사운드 신호의 분류2. 아날로그 신호디지털 신호의 처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화에 대한 설명Ⅲ. 결론Ⅳ.
    리포트 | 4페이지 | 4,000원 | 등록일 2017.02.11
  • [아날로그및디지털회로설계실습A+] 신호발생기 결과 레포트 입니다
    아날로그 및 디지털 설계 실습5# 신호발생기결과 레포트1.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.06
  • 디지털 논리를 이용한 신호등 제작
    디지털논리회로실험◆실험 목표◆-디지털논리회로실험시간에 배운 내용을 토대로 교통신호 제어기를 만든다.-신호등의 점등시간은 주도로와 부도로 녹색등 8초, 황색신호등은 2초로 한다. ... ※M=주도로 S=부도로위 진리표에 따르면 신호등은 MG' 와 SR'→ MY' 와 SR'→ MR' 와 SG'→ MR' 와 SY' 순서로 점등된다.이를 토대로 교통신호등을 설계한다.최신디지털공학실험 ... 시간이 촉박했고 카운터를 설계해야했는데 도무지 감이 안잡혀 디지털논리회로 책도 뒤지고 도서관가서 논리회로 책들을 모두 뒤져 보았다.
    리포트 | 12페이지 | 5,000원 | 등록일 2011.11.02
  • 미약생체신호, 인체신호전달, 68000의 신호, 디지털신호처리 압축알고리즘, 집적회로 신호전압, 소신호증폭기 주파수, 통신시스템의 신호해석, 라플라스변환과 신호, 수기신호 분석
    미약생체신호, 인체신호전달, 68000의 신호, 디지털신호처리의 압축알고리즘, 컬러TV의 신호전달, 집적회로의 신호전압, 소신호증폭기의 주파수, 통신시스템의 신호해석, 라플라스변환과 ... 동기 버스 제어 신호(E, VPA, VMA)Ⅳ. 디지털신호처리의 압축알고리즘Ⅴ. 컬러TV의 신호전달1. 각국의 컬러 TV 방식1) NTSC2) PAL3) SECAM2. ... 신호, 수기신호 분석Ⅰ.
    리포트 | 25페이지 | 7,500원 | 등록일 2013.04.01
  • 디지털 신호의 장단점
    그 대표적 예는 Digital TV 인데 Analog TV에 비해 그 화질이 5배 이상 선명하다고 한다. ... 또 Digital 이기 때문에 쌍방향 통신이 가능해져서, 방송의 일방적인 수용뿐만 아니라, 원하는 콘텐츠를 선택해서 시청도 가능하다. ... 디지털 신호는 아날로그와는 반대로 신호가 이산적이며, 유한한 길이로 존재하기 때문에 그 처리가 쉽고, 전송도 월등하다는 장점이 있다.디지털 신호는 주로 아날로그 신호를 변환하여 사용한다
    리포트 | 1페이지 | 1,000원 | 등록일 2008.12.15
  • 디지털 신호처리 레포트 7장 연습문제
    교과목 : 디지털 신호처리보 고 서과제명 : 7장 연습문제과 목 : 디지털 신호처리담당교수 : 홍길동 교수님제 출 일 : 2009년 5월 15일성 명 : 홍 길 동학 번 : 200000077.1 ... 중첩과 시간-지연 특성들을 사용하여 다음 신호의 z-변환을 구하시오.7.2 식(7.3.1)과 (7.3.4)의 중첩과 시간-지연 특성들을 사용하여 다음 식의 z-변환 Y(z)를 X(
    리포트 | 6페이지 | 1,000원 | 등록일 2013.03.30
  • 소리의 세계 과제 아날로그와 디지털 신호조사
    사회의 모든 분야에서 사용되고 있는 디지털 공학을 이용한 전기,전자,통신기기들은 이들이 다루는 신호의 형태에 따라 아날로그 시스템(analog system)과 디지털 시스템(digital ... 이 손가락이라는 개념이 수 문자(digit)라는 의미를 거쳐 계수형(digital)이라는 의미로 변형되어 폭넓은 의미를 갖게 된 것이다. ... 아날로그 신호디지털 신호 그림 2.아날로그 신호디지털 신호의 잡음 제거 비교그림 출처 : http://cafe.naver.com/medic25.cafe(2) 디지털 신호- 우리
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.27
  • 소리의 세계 레포트 아날로그와 디지털 신호에 대해서
    디지털 신호디지털 신호디지털 (digital)의 원 뜻은 "손가락 모양의"이다. ... 아날로그와 디지털신호에 대해서1. 신호란오늘날 정보통신 사회를 떠받치고 있는 기본 기술의 하나가 디지털 기술과 컴퓨터에 의한 정보처리기술이다. ... 아날로그신호디지털 신호의 차이점두 가지 방식의 근본적인 차이는 변화량이 가지는 값의 범위이다.1)아날로그신호는 연속적인 범위에서 값을 가지며, 디지털신호는 이산적인 범위에서 값을
    리포트 | 5페이지 | 1,500원 | 등록일 2013.03.13
  • [소리의 이해] 아날로그 신호디지털 신호 - 서울이러닝 배명진교수
    그러므로 Digital computer의 신호 처리와 기억능력을 사용하기 위하여 아날로그 신호디지탈 신호로 바뀌며, 디지탈 컴퓨터로 계산된 신호는 다시 아날로그 신호로 바뀌어 외부로 ... 것이 후리에 변환 기법입니다.2) 디지털 신호 (Digital Signal)- 데이터 정보와 이미지 정보 표현, 정해진 몇 개의 값으로 신호가 표시됨 .데이터 전송을 위한 기본 신호 ... 이때 바늘이 돌아가는 시계를 아날로그시계, 숫자가 나타나는 시계를 디지털시계라고 할 수 있다.* 디지털- 데이터를 수치로 바꾸어 처리하거나 숫자로 나타내는 일.디지트(digit)는
    리포트 | 7페이지 | 1,500원 | 등록일 2012.06.30
  • 아날로그신호디지털신호의 비교
    ) 사람의 음성 신호, 바늘에 의하여 움직이는 시계등연전대, 스트레인 게이지 등과 같은 센서에서 발생되는 신호들은 디지털로 변경할 수 없는 요소디지털 신호신호 처리를 하는데 전기적인 ... 1.아날로그신호디지털신호비교아날로그 신호회로가 지시하는 전압이나 전류가 모든 정보에 대응시켜 생각 미소한 잡음 등의 혼입도 정보에 영향을 주기 때문에 고도한 회로기술이 요구되는 ... 예를 들어일정 수준의 양전압을 1로 일정 수준의 음전압을 0으로 약속하여 비트조합으로 표현가능함. 2개의 값을 갖는 이진신호가 일반적인 형태의 디지털신호불연속적으로 변화하는 신호:2진
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.07
  • dsp 디지털신호처리 프로젝트 (Sampling, FIR LPF, BPF 설계)
    두 번째 신호를 걸러내고 첫 번째 신호를 나타내기 위해 적절한 spec을 설정하여 LPF를 설계하였다. ... 프로젝트 주제 지능형 순항제어 시스템(ACC)에서의 레이더신호 이용 차량 속도 및 거리 측정2. ... 수신신호를 표본 주파수가 100 kHz 인 ADC를 통과시켜 DSP 프로세서에서 다음과 같은 내용으로 분석하고자 한다.
    리포트 | 9페이지 | 6,000원 | 등록일 2014.05.03 | 수정일 2015.08.14
  • DSP 디지털 신호처리 FFT
    Homework (Matlab#3) Due day : Dec. 10In Matlab command, load the data file KTXSignal`. Check the data variable. The sampling rate of the signal is 10..
    리포트 | 4페이지 | 2,500원 | 등록일 2009.06.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:13 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대