• 통큰쿠폰이벤트-통합
  • 통합검색(8,695)
  • 리포트(8,336)
  • 자기소개서(278)
  • 시험자료(38)
  • 논문(25)
  • 방송통신대(11)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"디지털회로 실험" 검색결과 141-160 / 8,695건

  • 실험 M2 아날로그 및 디지털 기초 회로 응용 결과보고서
    전기 및 디지털 회로실험실험 M2 아날로그 및 디지털 기초 회로 응용결과보고서담당교수 : 교수님학과 : 전기공학과학번 :이름 :실험실험 M2 아날로그 및 디지털 기초 회로 응용개요기존에 ... 수행했던 아날로그 및 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이 해보고, 패키지 소자들을 이용해 하드웨어 수작업으로 구현했던 과거 회로와 비교하여 어떤 부분이 어떻게 프로그램으로 ... 대체 가능한지 학습한다.실험순서에 따른 실험결과키르히호프의 전압법칙 및 결합법칙“직류회로에서의 측정 실험”의 [그림 2] 회로를 브레드보드에 구성하고, V1 전원의 양극에는 아두이노
    리포트 | 18페이지 | 1,000원 | 등록일 2022.10.31
  • 울산대학교 전자실험예비23 디지털 조합 논리회로와 순서 논리회로
    실험23 디지털 조합 논리회로와 순서 논리회로학번 : 이름 :1. 실험목적조합회로와 논리회로를 구현해보고 동작원리를 확인한다.2. ... 채널과 두 개 이상의 입력 채널을 가지면, 입출력 모두 이산 상태의 값을 가지고 있고, 또한 각 출력 채널의 상태는 동시에 입력되는 입력 채널의 상태에 의해서 결정되는 장치이다.디지털 ... 순서회로는 Clock을 사용하는지의 여부에 따라 동기와 비동기 회로로 나뉜다.
    리포트 | 1페이지 | 1,000원 | 등록일 2019.10.18
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 2
    디지털회로실험및설계 결과 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도 및 멀티심? 실험 사진실험 3)? 회로도 및 멀티심? 실험 사진※ 결과분석? ... 회로도, 이론값, 실험결과, 결과분석실험1)?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    디지털회로실험및설계 예비 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과실험1) Display의 기본 소자인 LED 구동방식과 디지털소자에 대한 이해실험절차 1) Sink, Source 구동방식의 위 회로 2개를 구성한다 ... 회로실험한다.2.
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    디지털회로실험및설계 예비 보고서 #6( 74LS192를 이용한 Up/Down Counter 실험 )과 목담당교수제 출 일학 번이 름1. ... Multisim 시뮬레이션 회로도 및 결과실험 1)시뮬레이션 결과- 아무것도 나타나지 않는다.- 74LS47의 4번 핀과 5번 핀이 VCC에 연결되어 있지 않고, 독립적으로 연결되어 ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 74LS192의 구조를 살펴보고, 동작을 실험한다.③ 74LS74의 구조를 살펴보고, 동작을 실험한다.2.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 홍익대_디지털논리회로실험_2주차 예비보고서_A+
    디지털 논리실험 및 설계 2주차 예비보고서실험 준비1.1 NAND 7400 게이트, NOR 7402 게이트, XOR 7486 게이트의 datasheet를 확인하시오.7402 NOR ... 이때의 진리표는 XOR 게이트의 진리표와 같다.2.5 응용실험 (2)실험 준비 1.3에서 구현한 회로를 보자. ... 의 회로를 구현하시오.응용 실험(1)응용 실험(2)응용 실험(3)1.4 응용 실험 (3)의 결과를 예상하고 이유를 서술하시오.= (A+B)(A+C) [∵드모르간 법칙]= AA + AC
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_8주차 예비보고서_A+
    디지털 논리실험 및 설계 8주차 예비보고서실험 준비1.1 Gated D Latch의 동작에 대해 설명하시오.Gated S-R Latch와 매우 유사하다. ... 결국 CLK에 연결된 스위치가 올라갈 때마다 Q의 값이 토글된다.결론적으로 응용실험 (1)과 응용실험 (2)의 회로는 Q의 값을 토글시킨다는 공통점이 있지만 응용실험 (1)의 회로는 ... K에 Q를 입력해주면 J-K Flip-flop이 D Flip-flop와 같은 기능을 하므로 응용실험 (2)의 회로와 같은 기능을 하는 회로를 만들 수 있다.실험 결과2.1 기본실험
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_5주차 예비보고서_A+
    디지털 논리실험 및 설계 5주차 예비보고서실험 준비1.1 4.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... 이를 ∑ = (A⊕B으로 구현했다.1.2 응용 실험 (1), (2)의 회로를 구현하시오.응용실험(1)전가산기를 두개 이용하여 두 자리 이진수 덧셈기를 구현했다. ... 이때 두번째 전가산기의Carry in에는 첫번째 전가산기의 Carry out을 연결했다.응용실험(2)응용실험(1) 회로와 거의 유사하지만 첫번째 전가산기의 Carry in에 1이 입력된
    리포트 | 5페이지 | 1,500원 | 등록일 2024.05.15
  • 서강대학교 디지털논리회로실험 7주차 결과보고서
    배경이론 및 실험방법Counter는 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다. ... 실험목적1) CountersCounter의 구조와 동작원리를 이해한다.비동기/동기 counters2) State machine designMealy and Moore machines를
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 9주차 결과보고서
    실험목적1) 메모리 소자들의 동작 원리와 활용 방법을 이해한다.Read Only Memory(ROM)Random Access Memory(RAM)2) Address decoding의 ... 배경이론 및 실험방법ROM이란 Read Only Memory의 약자로, 아래는 n개의 주소 신호와 b개의 데이터 신호를 갖는 ROM의 기본적인 구조를 보여준다.ROM에서는 저장된 내용이
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 4주차 결과보고서
    배경이론 및 실험방법Multiplexer는 n개의 입력신호로부터 1개를 선택해서 출력에 연결해주는 Digital Switch이다.일반적으로 n개의 입력을 갖는 b-bit multiplexer의 ... Parity circuit는 FPGA로 회로를 구현해 알아보는데, MUX와 DeMUX 를 연결해 데이터가 어떻게 전달될 수 있는지 관찰한다. ... 실험목적1) Multiplexer의 동작원리와 활용방법을 이해한다.2) Exclusive-OR gate의 동작원리와 활용방법을 이해한다.3) Three-state 소자의 동작원리와
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 3장 연습문제
    3.1 그림 3-42와 같은 입력 파형들이 두 개의 입력 A와 B를 가진 아래와 같은 게이트들로 인가될 때 발생되는 출력 파형을 각각 구하라. 3.2 세 개의 인버터(NOT 게이트)가 직렬로 접속되어 있다. 첫 번째 인버터의 입력을 A, 그 출력을 B라고 하자. 직렬접..
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 홍익대_디지털논리회로실험_4주차 예비보고서_A+
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.멀티플렉서는 여러가지의 입력 가운데 하나를 골라 그대로 출력하지만 부호기는 ... 이를 표로 정리하면 다음과 같다.1.6 응용 실험 (2)가 4-to-1 멀티플렉서로 동작하는 원리를 자세히 서술하시오.응용 실험(2) 회로에는 3-INPUT AND 게이트가 총 4개 ... 그러므로 S0, S1 모두 1일 때 D3 값이 출력되는 것을 볼 수 있다.이 회로의 진리표는 다음과 같다.기본 실험(1)과 같은 것을 볼 수 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 4장 연습문제
    4.9 앞면과 뒷면을 가진 동전 세 개에 각각 논리 변수 A, B 및 C를 지정하기로 하자. 동전 을 던져서 앞면이 나오면 ‘1’, 뒷면이 나오면 ‘0’이라고 할 때, 세 개를 모두 던져서 한 개의 동전만 앞면이 나온 경우에 출력 F=1이 된다. 진리표를 작성하고, 함..
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 5장 연습문제
    세 개의 동전들을 모두 한 번에 던져서 그들 중에 두 개 이상이 앞면이 나오면 출력(F)이 ‘1’이 되어 램프가 켜지게 하는 회로를 설계하고자 한다. (1) 진리표를 작성하라.
    시험자료 | 13페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    디지털회로실험및설계 결과 보고서 #6( 74LS192를 이용한 Up/Down Counter 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도, 이론값, 실험결과, 결과분석실험1) 회로도? ... 업 카운터0 1 23 4 56 7 89실험 4) 회로도 (채터링 방지 회로도)?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 서강대학교 디지털논리회로실험 8주차 결과보고서
    실험목적1) Shift RegistersShift registers의 구조와 동작원리를 이해한다.Shift register를 활용하여 multiplier를 구성한다.2. ... 배경이론 및 실험방법Shift register란 개별적인 flip flop들의 연결에 의해 구성되는 shift register는 clock의 한 주기가 지날 때마다 연결되어 있는 register들의 ... .④ Parallel-in, parallel-out병렬로 입력되어 저장되는 모든 입력 데이터를 보여줄 수 있는 출력 신호를 갖는 회로이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 6주차 결과보고서
    배경이론 및 실험방법Sequential logic circuit(순차논리회로)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 의미한다. ... 실험목적1) Flip-flop의 종류를 파악하고 각각의 동작원리를 이해한다.SR-, D-, JK- flip-flopsSet up time과 hold time에 대해 이해한다.2) Resisters의 ... Latch와 flip-flop은 순차논리회로 설계의 기본이 되는 function block들이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 2주차 결과보고서
    구현된 임의의 논리 함수가 최소화 될 수 있음을 확인한다.4) Wired OR logic의 특성과 활용 방법을 익힌다.5) FPGA를 이용하여 간단한 논리 회로를 구현하고 동작을 확인한다 ... 배경이론 및 실험방법Logic signal은 기본적으로 0(low)과 1(high)을 활용해 나타낸다. ... 실험목적1) TTL logic gates의 동작 방법을 익힌다.2) Logic level과 noise margins, 그리고 fanout에 대해 이해한다.3) Gates를 이용하여
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.02
  • 디지털 논리회로 실험 8주차 D-FlipFlop 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : D-FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험 이론디지털 시스템에서 클럭 (clock) 신호에 의해 각종 논리신호가 동작되는데, 플립플롭 역시 이 신호에 동기 되어 동작한다. ... -D 플립플롭InputOutputDCLKQ0falling01falling1(a) 논리회로 (b) 진 리 표(C) 타이밍 선도그림 5-6 SR 플립플롭을 이용한 하강 에지 트리거 D
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대