• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,098)
  • 리포트(1,875)
  • 자기소개서(182)
  • 논문(21)
  • 시험자료(14)
  • 방송통신대(3)
  • 서식(1)
  • ppt테마(1)
  • 노하우(1)

"모터제어 실험" 검색결과 141-160 / 2,098건

  • (응용공학실험) LabView를 이용한 서보모터 제어 (A+자료입니다)
    이것을 피드백 제어라고 하며, 서보기구의 본질이라고 할 수 있다.② Servo Motor의 분류- DC Servo Motor아래의 그림은 영구자석 측을 고정하고 도선측이 회전하는 구조이다 ... LabView 사용법을 익히고 LabView를 이용한 서보 모터 제어 실험을 수행하여 제어 시스템의 구성과 그 개념을 이해한다.서보 모터 제어 실습의 목적은 PC 인터페이스를 통하여 ... 파형을 관찰한다.※ 서보 모터 PID 위치 제어 실험☞ LabView로 위치 제어 실험을 위한 알고리즘을 작성한다.☞ 원하는 기준 각도를 90도로 설정한다.☞ P 제어를 이용하여
    리포트 | 31페이지 | 3,000원 | 등록일 2020.02.01 | 수정일 2021.04.22
  • [명지대]모터 제어 결과레포트
    실험을 통해 얻은 값 중 3° 부근에 정상상태 오차가 발생한 실험은 비례게인 0.3일 때며, 이 때 유독 심한 진동이 발생했었다. 3°에 너무 가깝게 제어모터 때문에 심한 진동을 ... 모터 제어 결과 : 이땡땡 교수님[1] 결과 정리 및 분석1. ... 특히 ‘P gain : 0.3’ 실험 때 3번째 반복(Exp3)에서 과도하게 진동하는 것을 확인할 수 있었다. 5초 이후로는 정상상태에 수렴하게 되었지만, 모든 경우와 비교해도 유독
    리포트 | 3페이지 | 3,000원 | 등록일 2020.12.12 | 수정일 2021.04.16
  • [보고서1등] DC Motor 구동 및 DAQ (예비) [A+] 아주대 기계공학응용실험
    - 예비 보고서 -실험 제목: DC Motor 구동 및 DAQ과목명 : 기계 공학 응용 실험제출일:실험일자:실 험 조 명 :책임 수행자 :공동 수행자 :형 식/ 2이 론/ 3장 치 ... 실험 이론(1) BLDC motor- BLDC (Brushless Direct Current) Motor는 자동차 산업, 우주산업, 의료기기 뿐만아니라 일반 사무용품, 가정용 기기 ... )모터의 방향을 제어흰색, 검정색55번 (AO GND)GND분홍색(붉은색)17번 (P0.1)모터 정지청색50번 (D GND)GND노랑색
    리포트 | 4페이지 | 2,500원 | 등록일 2019.09.05
  • 전자회로실습 4 결과 - DC 모터 속도 제어 및 측정
    전자회로실험Ⅱ 결과보고서소 속전자공학과조주제 6. DC 모터 속도 제어 및 측정1. ... 비고 및 고찰이번 실험은 DC 모터 속도 제어 및 측정으로, DC 모터의 특성과 PWM 변조를 이용한 DC 모터속도 제어 기법을 이해하고 포토인터럽트와 모터에 회전판을 달아서 광학식 ... 그래서 모터제어부분에 5V전원을 주고, 모터의 측정부에 별도의 5V전원을 주었다면 가변저항에 따라 변화하는 모터속도를 확인할 수 있었을 것이라고 생각됩니다.2.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.02
  • 항공대학교 응용공학실험 LabVIEW를 이용한 서보모터 제어실험 레포트 A+
    실험 과정① DAQ 보드와 모터 박스의 전원을 킨다. ... 실험 개요 및 목적이번 실험은 ‘LabVIEW를 이용한 서보모터 제어 실험’으로, 제어 수행에 필요한 제어 시스템의 구성과 활용을 이해하고 LabVIEW 프로그램의 사용법을 익힌다. ... 이번 실험에서는 제어 입력의 조합에 의해 P 제어기, PD 제어기, PID 제어기를 만들어서 실험을 진행했다.
    리포트 | 37페이지 | 2,000원 | 등록일 2020.12.16 | 수정일 2024.04.24
  • 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 예비보고서
    실험 결과예상1) 비례 제어기를 이용하여 K_p = 1로 설정하고 얻은 결과로 모터의 단위 계단 응답 특성을 구하고 정상상태 오차를 구한다.비례 제어에 대한 단위 계단 (1차 sys ... Placement모터를 구동시키기 위해서는 전력 증폭기가 필요하다. 이 실험에 사용되는 전력 증폭기는 OP amp용 직류 전원과 선형 전력 증폭기로 구성되어 있다. ... 7번 실험 예비보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 7. 속도 제어 시스템1. 실험 목적본 실험에서는 속도 제어 시스템에 대해 다룬다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 아주대학교 기계공학응용실험 A+ 자료 : 예비보고서 DC모터 구동 및 DAQ
    BLDC 모터1) DC모터와 BLDC 모터의 장단점DC 모터BLDC 모터1. 플레밍의 왼손법칙 이용함.2. 제어 쉬우며 저렴함.3. ... 실험 목적? BLDC 모터의 특성과 원리에 대해 이해하고 DAQ 보드 및 소프트웨어를 활용하여 모터를 구동한다.2. 실험 이론? ... - 예비 보고서 -실험 제목: DC모터 구동 및 DAQ과목명 : 기계 공학 응용 실험제출일: 2019년 5월 13일실험일자: 2019년 5월 13일실 험 조 명 :-책임 수행자 :-
    리포트 | 4페이지 | 1,000원 | 등록일 2019.10.12 | 수정일 2019.10.14
  • 캡스톤 디자인 전선점검로봇 최종 결과보고서로써 제작과정 및 소스코드 첨부되어있습니다.
    실험 및 결과3.1 모터제어실물 제작이 완성되기 전에 DC모터와 서보모터의 동작 실험을 했다. DC모터모터 드라이버 L298N을 사용하여 모터의 정회전, 역회전을 제어하였다. ... 원격제어는 블루투스 모듈 HC-05를 사용하여 모터제어했고, 와이파이 모듈이 부착된 ESP8266 보드와 Aducam을 사용하여 실시간으로 영상을 송출하여 점검이 가능하도록 했다 ... 제어그림 3.1-2 서보모터 제어3.2 레일 부 제작구동 부 좌우 이동을 위해 제작한 부분으로 처음에는 시제품으로 나와 있는 레일과 롤러를 사용하려고 했으나, 정확한 양 구동 부
    리포트 | 12페이지 | 10,000원 | 등록일 2021.01.01 | 수정일 2021.10.14
  • 부산대학교 기계공학실험2 진동 레포트
    가진 모터는 속도제어기로 구동하는데, 모터에 걸리는 부하변동에 영향을 받지 않고 3000 rev/min까지 정밀 속도제어가 가능하다. ... 코일 스프링 진동계② 가진모터 및 속도제어모터에는 두 장의 불평형 원판이 설치되어 있다. 이 불평형 원판에 의해서 강체보에 가진력이 전달된다. ... 기계공학실험(Ⅱ)기초 진동실험제목 : 기초 진동실험담당조교 :학과 :학번 :분반 :조 :이름 :실험일자 :제출일자 :1.
    리포트 | 12페이지 | 1,500원 | 등록일 2023.09.05
  • 아주대학교 자동제어실험 9번 실험 / 펜듈럼시스템 / 예비보고서
    실험 결과예상1) 예비 보고서에서 계산한 PID 제어기의 이득과 실제 이득의 차이에 대해 논의한다.앞서 PID 제어기의 단위 계단 응답을 이론 부분에서 다룬 바 있다. ... (Encoder)Performance26 Poles Magnet 26 P/R헬리컬 기어 타입, 1/50의 감속비정격 토크 6Kg-cm순간 허용 토크 18Kg-cmInstalled Motor ... PID 제어기를 완성한 이후 설계한 Pole과 Arm의 제어기를 이용하여 전체 시스템의 PID제어기를 구성한다.7.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 실험7 속도 제어 시스템 결과보고서 (아주대 자동제어실험)
    7 결과보고서학 부: 전자공학부과목명: 자동제어실험실험7 속도 제어 시스템 결과보고서1. ... 실험목적① P 제어 및 PI 제어기의 설계② 제어기의 구현 및 성능 평가2. ... 원하지만, 약 1.1V정도에 수렴하는 결과 값을 얻을 수 있었다.③ 설계조건 PO=10%,T _{s}=3초인 경우의 비례-적분 계수인K _{p},K _{i}를 사용하여 얻은 결과로 모터
    리포트 | 6페이지 | 3,000원 | 등록일 2021.07.16
  • [기계공학][실험보고서][자동제어][Qube-Servo moter][Labview][Bump Test][Fisrt Principles][Block Diagrams]
    실험제목Qube-Servo Motor Control(2)Bump Test & First Principles2. 실험 목적Ⅰ. 제어에 사용되는 라플라스 변환에 대하여 학습한다.Ⅱ. ... 실험 고찰? 자동제어를 듣지 않았기 때문에 처음에는 다소 어려웠던 실험이었다. 하지만 교수님과조교님들의 설명 덕분에 실험을 이해할 수 있었다.? ... Qube-Servo Motor Control(2)Bump Test&First Principles1.
    리포트 | 11페이지 | 10,000원 | 등록일 2019.11.29 | 수정일 2024.04.11
  • 기계공학실험 - 랩뷰 프로그램 및 low-pass filter 보고서 (홍익대, 홍익대학교)
    실험을 직접 하지는 않았지만 전류와 motor voltage, 회전속도가 비례한다는 사실을 고려했을때 motor voltage를 크게하면 모터의 회전속도가 빨라지고 작게하면 회전속도가 ... 그리고 실험을 통해 motor voltage가 양의 값을 가지고 있을 경우 모터가 시계방향으로 회전하며, 음의 값을 가지고 있을 경우 모터가 반시계방향으로 회전하는 것을 예상할 수 ... 이 말은 DC모터가 원하는 토크에 따라 제어를 하기 쉽다는 뜻이다. 즉 큰 토크가 필요한 경우 전류를 높혀 사용할 수 있으며, 전류를 통해 모터제어하기가 쉽다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.03.24
  • 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 3차예비보고서-스텝모터구동기
    실험 목적단극 스텝 모터(Uni-polar step motor)의 동작 원리를 이해하고 스텝 원리를 조종하기 위한 범용 이동 레지스터(Universal shift register)의 ... 설계실습 계획서3-1 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다. ... 만약 1 회전 100 펄스의 스텝 모터가 있다면, 구동회로에서 1 개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지 계산하시오.: 1 회전 100 펄스 스텝 모터에선, 100 펄스에
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.06
  • 제어계측공학과 졸업작품 『BLDC모터를 이용한 태양광 자동차』.
    BLDC모터 제어26Ⅳ. 개발 내용 (실험 결과)1. Gate Driver설계결과282. Boost Converter 출력전압제어 결과283. BLDC모터 제어 결과29Ⅴ. ... BLDC모터 드라이버17Ⅲ. 개발 방법 (실험 방법)1. Gate Driver 설계202. Boost Converter 출력전압제어203. 충전 실험244. ... (e) DC - BLDC 모터 비교(f) 0rpm,INVERTER의 경우는 100~2400rpm의 속도제어범위를 갖고 있지만 BLDC MOTOR는 100~3000rpm (일부 제품은
    논문 | 32페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 경북대 기초전기전자실험 A+ 로보틱스
    (출처-http://m.blog.daum.net/wjswptjd/16837173)② 서보모터1) 서보모터란서보모터( servo Motor)는 모터제어구동보드(적당한 제어 회로와 ... 위키피디아, http://www.e-motor.co.kr/MOTOR/TEXT.htm)2) Stepping 모터의 장단점장점단점(1) 저가(2) 개루프에서 작동 가능(피드백 필요 없음 ... Stepping 모터1) Stepping 모터란스테퍼모터(Stepper motor, 스텝모터 혹은 스테핑모터)란 한 바퀴의 회전을 많은 수의 스텝들로 나눌 수 있는 브러쉬리스 직류
    리포트 | 13페이지 | 3,000원 | 등록일 2019.09.15 | 수정일 2019.10.08
  • (08주차)Project#2 압력 센서
    원리를 이해한다.OP Amp를 이용하여 PWM파형을 출력할 수 있다.달링턴 회로의 원리를 이해한다.압력 센서의 원리를 이해하고, 이를 이용하여 모터제어할 수 있다.실험결과실험 ... 달링턴 회로를 구성한 후에 출력 전압에 DC 5V를 추가하여 모터를 돌리게 된다.중간에 다이오드가 있는것에 대해 생각을 잠깐 해보았는데 Motor(-), Motor(+)에 걸리는 전압의 ... 방향대로 돌아가게 되는데 역전압이 걸리지 않게 하기 위하여 있는것으로 생각을 하였다.실험 5 : ( 달링턴회로를 통한 모터구동 )실험결과분석 : 실험 1,2,3,4를 통하여 모터
    리포트 | 8페이지 | 1,000원 | 등록일 2020.02.22 | 수정일 2022.07.04
  • 마이크로프로세서 실험 마이크로컨트롤러 개요 및 GPIO 입출력 제어
    마이크로프로세서 실험 및 설계마이크로컨트롤러 개요 및 GPIO 입출력 제어1. ... Array FND, Text LCD, Sensor, memory, Relay, DAC, Audio, UART Module 장착●56mm*60mm Module Zone→OLED, Step Motor ... 실험 코드 분석예제코드#include#includeint main(){char i;unsigned char LED_Data=0x0;DDRE=0xFF;while(1){PORTE=LED_Data
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.05
  • [PPT] 한눈에 들어오는 깔끔한 대학 / 취업 용 포트폴리오 양식 (프로젝트 관련)
    창의과제응용 윈도프로그래밍 기 초 마이크로컨트롤러 기 초 PLC 로봇용 센서 로보틱스 전공물리 윈도우 프로그래밍 응용 마이크로컨트롤러 응 용 모터 실험교과목에 대한 개인적 견해 (1 ... 기능성 : 조립용이성 , 내구도 등을 개선시킨 완구로 봇 안드로이드앱으로 기구 모듈제어 부분제어 카메라를 이용한 라인인식 자율주행전 국 대회 수상경험 201X 작품사진 201X 전국학생경진대회 ... 전자회로 및 실험 자동화 회로 실 무 전력전자회로 실 험 제도 및 CAD 3 차원 CAD 디지털 논리회 로 컴퓨터 프로그래밍 E - CAD 창작과제 창의적 공학설 계 창의과제기초
    ppt테마 | 10페이지 | 1,500원 | 등록일 2022.01.11 | 수정일 2022.01.16
  • 동역학 및 자동제어 응용실험 결과보고서(아주대 기계공학 응용실험)
    실험 목적1) DC 모터와 아두이노를 이용하여 모터의 위치 제어 시스템을 만들고, PID를 제어기로 사용하여 모터의 각도를 제어함으로써 모터 제어시스템의 동역학과 자동제어에 대해 이해한다 ... - 결과 보고서 -실험 제목: 동역학 및 자동제어 응용 실험과목명 : 기계 공학 응용 실험제출일: 2023년 4월 일실험일자: 2023년 4월 일실 험 조 명 :반 조책임 수행자 : ... 실험 이론2-1. 모터(전동기)모터는 전기에너지를 운동에너지로 바꾸는 장치를 의미한다.
    리포트 | 11페이지 | 2,500원 | 등록일 2024.03.08
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대