• 통큰쿠폰이벤트-통합
  • 통합검색(280)
  • 리포트(266)
  • 시험자료(8)
  • 방송통신대(4)
  • 논문(1)
  • 자기소개서(1)

"쉬프트 레지스터" 검색결과 141-160 / 280건

  • 양방형 쉬프트
    다음은 양방향 쉬프트의 설계도이다. 사용 방법은 다음과 같다.1. ... ISR 입력 신호는 제어신호가 01일 때 가장 왼쪽 레지스터에 입력되는 값, ISL 입력 신호는 제어신호가 10일 때 가장 오른쪽 레지스터에 입력되는 값,Ii 입력 신호는 제어신호가 ... 11일 때 각 레지스터에 입력되는 값이다.복잡해 보이지만다음의 그림(아래부터는 모두 nand 게이트 표시)은 mux의 회로 구조이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2006.11.11
  • 레지스터의 특성 예비보고서
    쉬프트 레지스터레지스터의 최하위자리(LSB)에 입력을 넣어 플립플롭에 기억된 정보를 첨자 윗자리로 이동시키는 좌 쉬프트 레지스터(left shift register)는 우 쉬프트 ... 우 쉬프트 레지스터플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터를 우쉬프트 레지스터(right shift register)라 한다. ... 쉬프트레지스터의 원리플립플롭이 하나의 기억소자가 될 수 있다. 이처럼 계산기내에서 수치나 명령 등의 정보를 일시 기억해 두는 일연의 플립플롭을 레지스터(register)라 한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.28
  • 실험19 카운터 회로 예비보고서
    그 외에 쉬프트 레지스터도 일종의 계수기로 볼 수 있는데, 귀환 반복한다하여 고리계수기(ring coutner) 라고도 부르며 그 변형에 Johnson 계수기가 있다.은 JK 플립플롭으로 ... 만들 수 있는데, 리플 캐리 계수기는 완전한 동기식 계수기와 비동기식 계수기의 중간 절충식으로 비동기식보다는 전송지연이 작고 동기식보다는 회로가 간단한 이점이 있다.앞서 언급한 쉬프트 ... 레지스터는 일종의 ring 계수기인데, ring 계수기는 효과적으로 자신의 상태를 부호화9encoding) 하지 못하는 단점이 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • 임베디드 시스템 실험 3주차 ARM Assembly Study(2), ADS
    그리고 마지막 Rotate되는 값이 Carry Flag를 설정하게 된다.ROR(ROtate Right)의 쉬프트동작EOR(Exclusive OR)은 비교대상이 서로 다른 값일 경우에 ... 같이 C Flag가 Set되면 최상위비트에 1이 로테이트 되고 C Flag가 Clear되면 최상위비트에 0이 로테이드 된다.RRX(Rotate Right with eXtend)의 쉬프트동작BIC ... (ROtate Right)Operand2 레지스터에 대해 오른쪽으로 Rotate동작이 일어나도록 한다.Rotate되는 동안 최하위비트가 그림과 같이 최상위비트로 넘어가게 된다.
    리포트 | 27페이지 | 4,000원 | 등록일 2013.10.28
  • 컴퓨터구조정리
    논리적 쉬프트(SHR, SHL) 명령어는 쉬프트를 한 후 마지막 비트 위치에 0을 넣는다. (마지막 위치란 우측 쉬프트의 경우는 가장 왼쪽, 좌측 쉬프트의 경우는 맨 오른쪽). ... 회전형(ROR, ROL) 명령어는 원형 쉬프트를 ... 다른 비트 처리명령어로 캐리비트의 설정, 캐리비트의 해제, 보수 명령어 등도 있다.(3)쉬프트 명령어쉬프트명령니모닉Logical shift rightSHRLogical shift leftSHLArithmetic
    시험자료 | 83페이지 | 2,000원 | 등록일 2012.03.15
  • Relatively Simple CPU Simulator
    (AC 에 제수를 왼쪽 쉬프트 2회 한 값을 저장)stac 9 ; AC 의 값을 9번지에 저장mvac ; AC 의 값을 R 에 저장(R 에 제수를 왼쪽 쉬프트 2회 한 값을 저장)add ... 1회 한 값을 저장)stac 8 ; AC 의 값을 8번지에 저장mvac ; AC 의 값을 R 에 저장(R 에 제수를 왼쪽 쉬프트 1회 한 값을 저장)add ; AC 와 R 을 더함 ... 1 ; AC 에 1번지의 값을 저장(제수 값을 AC 에 저장)mvac ; AC 의 값을 R 에 저장(R 을 제수 값으로 만듦)add ; AC 와 R 을 더함(AC 에 제수를 왼쪽 쉬프트
    리포트 | 13페이지 | 1,500원 | 등록일 2011.06.28
  • 실험7결과 Shift Register
    고찰1) 6 bit shift register이전 실험에서 구성한 F/F을 여러 개 이어 shift register를 구현하는 실험이다. ... 실험 결과실험 1) 6 bit shift register74HC76과 NAND gate를 조합해 6bit를 저장할 수 있는 Right Shift Register를 구성했다. ... bit를 저장할 수 있는 74HC90를 사용해 register의 shifting 현상을 관찰했다.
    리포트 | 6페이지 | 3,000원 | 등록일 2014.05.13
  • 실험7예비 Shift Register
    [실험7] Shift Register1. ... 데이터를 레지스터에 기록하기 위해서 쓰기/시프트 제어신호는 반드시 0으로 고정되어야 한다. 데이터를 시프트하기 위해서, W/S 제어신호는 1이고 레지스터는 클럭이 입력된다. ... 직렬 형태의 정보는 한번에 한 비트씩 하단 레지스터에 입력으로 전달되고 한 비트씩 전송된 정보가 모두 전송되어서 레지스터에 저장된 후 각 stage에 있는 정보를 병렬 형태로 동시에
    리포트 | 7페이지 | 2,000원 | 등록일 2014.05.13
  • Micro
    while(1); } // 외부 인터럽트4 서비스 루틴 interrupt [EXT_INT4] void external_int4(void) { led ... SREG = 0x80;= Status Register 로 상태레지스터를 의미하며, 상태레지스터는 전체인터럽트 제어를 허용하는 비트를 가진다. ... EIMSK = 0b00010000;= External Interrupt Mask Register 로 외부 인터럽트 마스크 레지스터 이며, 8개의 외부 인터럽트를 인에이블
    리포트 | 30페이지 | 2,500원 | 등록일 2011.11.07
  • 4-bit Right Shift Register
    쉬프트 하는 것인데 이번 과제는 right shift register이므로 데이터를 오른쪽 방향으로 쉬프트 하는 vhdl 코드를 설계한다.② Describe how do you solve ... 목4-bit Right Shift Register1. ... ISE프로그램을 이용하여 4 bit right shift register를 코딩해보고 modelsim을 이용하여 wave 파형을 확인해본다.2.
    리포트 | 9페이지 | 1,500원 | 등록일 2011.06.06
  • 디지털 1장 예비보고서
    쇼트키 , 74ASxx - 어드벤스트 쇼트키74Fxx ? ... 크다.0~30번대논리 게이트류(AND, OR, NOR, NAND, XOR 등)41~48번대디코더(decoder)류70번대플립플롭(flip-flip)류80번대가산기류90번대카운터, 레지스터류200번 ... 감지VCO - 전압조정 주파수 발생기FA - 전 가산기( Full adder )ALU - 논리연산 유닛Counter - 카운터( Up/Down/Preset/Reset )Shifter - 쉬프터Decoder
    리포트 | 11페이지 | 1,000원 | 등록일 2016.10.07
  • 디지털 논리 회로 텀 프로젝트 디지털 공중전화
    Switch 기능1번 - 첫 번째 쉬프트 레지스터의 오른쪽 쉬프트 기능 제어2번 - 나머지 쉬프트 레지스터들의 오른쪽 쉬프트 기능 제어3번 - 첫 번째를 제외한 나머지 레지스터들의 ... 왼쪽 쉬프트 기능 제어4번 - 첫 번째 쉬프트 레지스터의 왼쪽 쉬프트 기능 제어? ... 구현해 보고자 하였고 팀원들간 프로젝트 구상 끝에 디지털 공중전화를 구현하게 되었고그 안에 전체적으로 7-세그먼트활용, BCD-7Segment 변환, 업 카운터, 다운카운터펄스발생, 쉬프트레지스터
    리포트 | 16페이지 | 4,000원 | 등록일 2011.01.05
  • xilinx를 이용한 플립플롭(Flipflop)과 레지스터(Register)의 설계
    관련 기술 및 이론(2) Shift Register(쉬프트 레지스터) 레지스터(Register)는 공통 클럭 입력을 가진 플립플롭의 그룹으로 구성된다. ... 그 중에서 쉬프트 레지스터는 2진 데이터를 저장하여 쉬프트 신호가 인가될 때 이 데이터를 왼쪽 방향 또는 오른쪽 방향으로 쉬프트 할 수 있는 레지스터이다. ... 레지스터의 한 쪽 끝에 쉬프트되어 나온 비트들은 잃어버릴 수도 있으나, 쉬프트 레지스터가 순환형(cyclic type)이라면 한 쪽 끝에서 쉬프트 출력된 비트들은 다른 쪽 끝으로 다시
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • [디지털통신] 채널부호화
    컨벌루션 부호기는 아래와 같이 세가지 정수에 의하여 표현되며, 미리 설정한 가장 최근의 몇개의 비트를 사용하기 위해 기억 소자(쉬프트 레지스터)와 mod-2가산기 그리고 출력 전화 ... 부호어 벡터가 부분 공간내에 있는 부호어 벡터일 때 순회이동(end-around)시킨 부호어도 같은내에 있는 벡터일 때 이 부호를 순회부호라 한다.부호어 벡터의 각 원소가 쉬프트레지스터의 ... 아래왼쪽의 부호기에서 스피트레지스터의 초기상태를 [0 0 0]이라 할 때 입력 비트의 상태에 따라 시프트 레지스터와 출력상태를 나타내는 상태도느, 시프트레지스터의 과거 구속비트의 상태
    리포트 | 7페이지 | 1,500원 | 등록일 2012.06.18
  • 논리설계 - 레지스터를 MAX-PLUS II 결과 보고서
    쉬프트 레지스터(Shift Register) 설계D-FF 4개를 연결하여 쉬프트 레지스터를 설계하시오. ... 이것은 우측 쉬프트(right shift)의 한 예이다.그림 14-14(a)는 D플립플롭을 이용해서 구성한 우측 쉬프트 레지스터(right shift register)이다. ... 제목- LAB #9 Register- Shift register에 대해 이해하고 설계한다.목적-Shift register에 대해 이해하고 설계할 수 있다.관련 학습쉬프트(shift)
    리포트 | 6페이지 | 1,000원 | 등록일 2009.12.15
  • 디지털 2장 예비보고서 Boolean 대수와 논리식 간략화
    쇼트키 , 74ASxx - 어드벤스트 쇼트키74Fxx ? ... 크다.0~30번대논리 게이트류(AND, OR, NOR, NAND, XOR 등)41~48번대디코더(decoder)류70번대플립플롭(flip-flip)류80번대가산기류90번대카운터, 레지스터류200번 ... 감지VCO - 전압조정 주파수 발생기FA - 전 가산기( Full adder )ALU - 논리연산 유닛Counter - 카운터( Up/Down/Preset/Reset )Shifter - 쉬프터Decoder
    리포트 | 8페이지 | 1,000원 | 등록일 2016.10.07
  • 릴레이란?
    RelayPN직류(바이어스포함)-POR위치 계전기Poset CoilSS스위치, 개폐기SwitchS세트입력-SE익스팬드 입력세트-SET세트SetSEX부여 자기Sub-exciterSFR쉬프트 ... 레지스터Shift RegisterSH분류기ShuntSL표시등Signal Lamp, Pilot LampSM동기 전동기Synchronous MotorSMT슈밑트리거Schmidt TriggerSOR탈조
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.09
  • (무선이동통신)viterbicode,convolutionalcode,길쌈부호에 관하여
    간단히 말하자면, m 비트의 쉬프트 레지스터(shift register) 또는 메모리를 사용하여 생성되는 길쌈 부호는 임의의 한 입력 비트에 대하여 메모리 내의 각 비트들을 선형 결합함으로써 ... 트렐리스도는 컨볼루션 부호를 이루는 메모리 상태를 기준으로 입력값에 따라 출력되는 부호들의 천이과정을 나타낸 것으로 위 그림에서 실선은 ‘0’이, 점선은 ‘1’이 부호화기에 입력되는
    리포트 | 7페이지 | 1,000원 | 등록일 2013.04.04
  • ARM으로 배우는 임베디드 시스템(임베디드_5장 과제 연습문제)
    따라서 레지스터를 2번째 오퍼랜드로 사용하는 경우에는 인라인 배럴 쉬프트 동작을 같이 사용할 수도 있다.5. 배럴 쉬프터에서 지원 가능한 쉬프트 및로테이트 동작은? ... 데이터 처리 명령의 2번째 오퍼랜드는 B 버스를 통해서 전달되는데 레지스터 뱅크 또는 명령어에 포함된 이미디어트 상수를 사용할 수 있고, ALU에 입력되기 전에 배럴 쉬프터를 통과한다 ... Pre-index는 메모리에 접근하기 전에 베이스 레지스터와 옵셋을 먼저 계산하는 방식이고 Post-index는 메모리 접근 후에 베이스 레지스터 값과 옵셋을 계산하여 베이스 레지스터
    리포트 | 2페이지 | 1,500원 | 등록일 2010.05.23
  • [컴퓨터의이해-1학년공통] 1) 마이크로프로세서발전과정과 마이크로프로세서가 컴퓨터산업에 기여한점 마이크로프로세서최신동향 2) QR코드조사하여 본인의 QR코드만들기-마이크로프로세서발전과정-
    FLAG, 인터럽트 제어, 상태 저장, CPU 제어, 기타를 저장하는 레지스터.? 정수형 산술 논리 장치(ALU)?: 수학연산, 논리연산, 쉬프트 등의 수학적 연산을 담당한다.? ... 따라서 이 레지스트의 값에 의해 기계어 명령어가 패치되어 읽혀 명령어 해석되어 실행된다.? 인덱스 레지스터?: 데이터를 처리할 주소값을 저장한다. ... 레지스터? 범용 레지스터?: 데이터 처리를 위해 데이터 저장 한다.? 특수 레지스터?: 특수 기능을 위해 설정된 레지스터 이다. 레지스터의 기능이 결정되어 있다.?
    리포트 | 15페이지 | 2,000원 | 등록일 2013.04.15 | 수정일 2016.03.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대