• 통큰쿠폰이벤트-통합
  • 통합검색(4,300)
  • 리포트(3,724)
  • 자기소개서(518)
  • 시험자료(28)
  • 논문(18)
  • 방송통신대(5)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"전자회로 실험 및 설계1" 검색결과 141-160 / 4,300건

  • [A+] 중앙대학교 아날로그및디지털회로설계실습 2차 예비보고서
    아날로그 디지털 회로 설계 실습예비보고서설계실습 2. ... Switching Mode Power Supply (SMPS)소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.09.21제출날짜2023.09.211. ... PWM 제어 회로와 Buck Converter 회로를 이용하여 아래 성능의 SMPS를 설계하시오.- 스위칭 주파수 : 12.5 kHz- 입력 전압 Vi : 5 V- 출력 전압 Vo
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • 서울시립대학교 편입 학업계획서
    그리고 ‘전기전자기초실험’에서는 OrCAD와 PSpice를 활용해 회로설계도를 직접 짜고, 각 부품들의 특징을 이용해 이론으로만 배운 클리핑*클램핑 회로, Op-Amp2. ... 그리고 실험 수업은 전자전기컴퓨터 설계 실험 Ⅲ과 통신공학실습을 통해 전적대에서 배운 전기전자기초실험의 심화과정을 이수하겠습니다.4학년 때는 디지털 제어를 공부하고 이어서 컴퓨터구조 ... 회로 컴퓨터 과목 관련해선 회로이론, 논리회로, 전자회로를 수강함으로써 회로의 기본동작에 대해 공부했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.12.26 | 수정일 2022.10.01
  • A+ 중앙대 아날로그디지털회로설계실습(결과)8. 래치와 플립플롭 할인자료
    설계실습 내용 분석 (결과 report작성 내용)8-4-1 PSPICE를 활용한 RS 래치 구현 동작(A) PSPICE를 사용하여 그림 9-1의 회로를 구현 동작시키고, ... 래치와 플립플롭(학생이름, 학번, 학수번호, 실험조의 번호, 실험조원의 이름, 실험날짜, 제출날짜)요약 : 전자전기장비에 많이 사용되는 bandpass filter를 R,L,C를 사용하여 ... 결과값이 아래 실험의 결과값과 같은지 비교한다.0V일 때clock 5V일 때8-4-2 Bread Board를 활용한 RS 래치 구현 동작(A) 그림 8-1의 회로를 TTL 7400을
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • 광주과학기술원(GIST) 지스트 인공지능대학원 자기소개서 연구계획서
    , 나노소자공학, 컴퓨터비전, 디지털제어, 전력전자시스템해석, 제어네트워크, 로봇공학, 기초회로, 전자회로, 전자회로실험, 반도체공학, 회로이론1, 전자재료, 집적회로, 배전자동화설계 ... 제가 OO학기 동안 수강하였던 전공과목을 적자면 유연전자소재공학, 메모리반도체설계, RF시스템공학, 고체전자물리, 심화융합캡스톤디자인, 고급디스플레이공학, 전자에너지변환공학, 의용전자공학 ... 제가 전기전자공학을 전공해본 소감을 밝히면 전산 쪽 프로그래밍을 한다전지, 전자전기 실험 쪽을 한다던지 이론 공부를 한다던지 대부분 저에게 아주 잘맞았고 스스로 전공적합도가 높았다고
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.06.30
  • LG전자 H&A사업본부 합격 자기소개서
    임베디드 회로 실습 중 IC 칩을 이용하여 기판 위에 소자를 설계해 LED를 동작하도록 하는 실험을 하였습니다. ... 지난 학기 전자공학 설계 실험 과목에서 '7-SEGMENT 다기능 시계'를 제작하면서 팀원과 최고의 시너지를 발휘했습니다. ... 1.
    자기소개서 | 1페이지 | 3,000원 | 등록일 2024.08.23
  • 성균관대학교 전자전기공학부 대학원 학업계획서
    자신의 학문적 지향저는 OO대학교 전기전자컴퓨터공학부에서 반도체공학, 회로이론1,2, 공업수학, 컴퓨터응용, 디지털회로설계1,2, 전기자기학1,2, 전자회로1,2, 전력공학1, 로봇공학 ... , 자동제어1, 전력시스템공학, 전기전자재료, 계측실험, 데이터구조실습, 데이터과학기초실습, 컴퓨터네트워크, 운영체제, 데이터베이스, 알고리즘, 소프트웨어공학, 기계학습응용, 센서공학 ... OO대학교에서 OOOO실험이라는 과목을 들을 때는 면저항측정기가 부족해서 O명이 1조가 되어서 실험을 하는 경우도 있었습니다.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.09.12
  • [부산대학교 응전실1(응용전기전자실험1)]전압 체배회로 결과보고서
    응용전기전자실험 결과보고서6주차 결과보고서수강과목 : 응용전기전자실험1담당조교 :학 과 :학 번 :이 름 :제출일자 : 1. ... 출처 참고문헌- 부산대학교 전기공학과. (2023). 응용전기전자실험1- 버자드 라자비 저/김철우, 김남수, 김종선, 박상규 역 외 3명. (2015). 라자비의 ... 출력전압이 두배가 되는 이유이번 실험에서 설계한 기본적인 회로는 배전압기(voltage doubler)에 평활회로가 추가된 형태입니다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.10.01 | 수정일 2024.03.22
  • [첨삭완료][보고서 점수 1등] 2021년 [전기회로설계실습 결과보고서 03] 분압기(Votage divder) 설계
    그러므로 전자전기공학에서 이러한 분압기는 회로설계 분석하는데 있어서 꼭 알아야 할 장비이고, 분압기를 직접 설계해보는 이번 실습을 통해 분압기의 작동원리와 역할을 이해하는데 ... 따라서 이를 생각하며 효율적인 분압기를 설계하는 실험을 진행하였다.2. 설계실습 결과4.1 (a) 실험계획 3.1의 회로를 구성하여 출력전압을 측정하여 기록하라. ... 직접 측정하지는 않았지만 정격전류의 조건 역시 이론적으로 만족할 것임을 확인하였다.실험소자 사용계측기 : 1kΩ 저항, 2.7kΩ 저항, 3kΩ 저항, 6.2kΩ 저항, Bread
    리포트 | 4페이지 | 1,500원 | 등록일 2022.09.15 | 수정일 2022.11.16
  • 중앙대 전자회로설계실습 Mosfet Current Mirror 설계
    실험목적: N-Type MOSFET을 이용하여 특정 Reference 전류가 흐를 수 있는 단일 Current Mirror와 Cascode Current Mirror를 설계 ... 설계실습 내용 분석∗ 다른 지시가 없다면 측정값은 유효숫자 세 자리까지 기록한다.∗ 실험시작 전에 우선 DMM과 DC Power Supply, Function generator와 ... 측정하여, current mirror를 이용한 전류원의 전기적 특성을 이해한다.⎕ 실험에 대한 요약1.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.27
  • 중앙대 전자회로설계실습 Common Emitter Amplifier의 주파수 특성
    실험목적: 이전 실험에서 설계한 emitter 저항을 사용한 Common Emitter Amplifier의 주파수 특성 커패시터들의 영향을 측정, 평가한다. ⎕ 실험에 대한 ... 설계실습 내용 분석∗ 다른 지시가 없다면 측정값은 유효숫자 세 자리까지 기록한다.∗ 실험시작 전에 우선 DMM과 DC Power Supply, Function generator와 ... 요약1.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.08.27
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter)예비레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 실험 장비 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44. ... 용도 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 연세대학교 편입학 전기전자공학부 학업계획서
    OO대학교 전기공학부에서 회로이론1,2, 전자회로1,2, 전력기기실험, 아날로그시스템설계, 전력시스템공학1,2, 컴퓨터통신과계측, 전력전자시스템설계, 신호처리시스템1,2, 전기전자재료1,2 ... 본교 편입학 후 학업계획 졸업 후의 진로 계획을 기술하시오. ... 저는 OOOO라는 OO업체에서 근무하면서 전기설계, OO사고 방지 업무를 맡았습니다.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.12.27
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    동영상 제작주차별 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학, 전자회로 과목 복습 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전, 회로의 대략적인 구상과 동작 ... 과제명VHDL을 이용한 8-bit ALU 설계 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... → [FPGA 이용 방법은 기초회로실험_실험 12_P123 참고] Xilinx artix-7 계열 사용, 실행 여부 판단 후 반복, ppt 구상 틀 짜기, 중간 보고서 제출3주차
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • [숭실대 전자회로실험] RC&Circuit Simulator 실험 보고서
    각종 전선- 실험 구성 방법1 >> 함수 발생기와 오실로스코프의 전원을 연결하고, 함수 발생기의 파형 설정을 확인한다. ... RC & Circuit Simulator- 1 - 전자회로실험RC Circuit서론RC 회로는 저항기[Resistor], 축전기[Capacitor]가 직렬로 연결된 전자회로를 일컫는다.RC ... 핵심 기본 소자인 축전기, 교류 특성을 이해하고 전자회로 실험에 주로 활용되는 여러 기자재의 사용법을 익힌다.본론- 이론1.
    리포트 | 11페이지 | 2,500원 | 등록일 2024.09.09
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    참고문헌1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M.Morris Mano, Michael D. Ciletti. ... 실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... IT CookBook, 디지털 논리회로. 조합논리회로.4) ㈜한백전자. HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • FSM회로 구현 예비레포트
    1. 실험 제목 [FPGA Board를 이용한 FSM 회로의 구현]2. 실험 목적-hardware description language(HDL)을 이해하고 그 사용방법을 익힌다. ... 관련 이론-fsm유한 상태 기계(finite-state machine, FSM) 또는 유한 오토마톤(finite automaton)은 컴퓨터 프로그램과 전자 논리 회로설계하는 데에 ... -FPGA 의 용도 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 10차 예비보고서
    아날로그 디지털 회로 설계 실습예비보고서설계실습 10. 7-segment / Decoder 회로 설계소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.23제출날짜2023.11.231 ... 실습 목적7-segment 와 Decoder를 이해하고 관련 회로설계한다.2. ... 설계Decoder 와 7-segment 를 이용한 7-segment 구동 회로설계한다.74LS47 Decoder와 7-segment 사이에 330Ω 저항을 삽입하여 7-segment의
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • 중앙대 전자전기공학부 전자회로설계실습 예비보고서(실험10)
    전자회로 설계 실습예비보고서설계실습 10. Oscillator 설계실험일시 :작성자 :담당교수 :이름학번분반실험날짜.설계실습 10. ... ● Function Generator와 오실로스코프를 사용할 때에는 실험부 첫 장에 제시된 순서를 따라 초기조정을 하라.4.1 Oscillator 회로의 제작 측정(A) 실험계획서 ... Ocsillator 설계실험 목적OP-Amp를 이용한 Oscillator (신호발생기)를 설계 측정하여 positive feedback의 개념을 파악하고, 피드백 회로의 parameter
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.18
  • 전기회로설계실습 - 2장 결과
    설계실습내용 분석4.1(a) 6V의 건전지전압을 측정, 기록하라. 10Ω 저항을 측정하여 기록하라.6.633V, 9.938Ω(b) 3.1에서 고안한 방법을 사용하여 6V 건전지의 ... 전원의 출력저항, DMM의 입력저항 측정회로 설계OOO교수님전자전기공학부OOOO.OO.OO (실험일)~OOOO.OO.OO(제출일)OOOOOOOO O O O요약) DMM과 DC power ... 그렇지 않다면 본인이 무엇을 잘못생각하였는지 기술하라.예비보고서에 작성한 설계도와 실제 실험에 사용된 회로도가 같았다. 따라서 실험이 잘 되었다고 생각한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.06.12
  • 아날로그 디지털 회로 설계실습 결과보고서1 초전형 적외선 센서
    아날로그 디지털 회로 설계 실습-실습 1 결과보고서-초전형(Pyroelectric) 적외선 센서학 과 : 전자전기공학부담당 교수님 :제출일 :)조 :학번 / 이름 :. ... 설계실습 방법실험계획서에 구성된 회로설계하고, 초전형 적외선 센서의 단자를 주의하여 연결하시오. ... 또한, source follower와 같이 전자회로 시간에 학습한 회로를 직접 구성해보며 다시 확인할 수 있어 학습에 큰 도움이 되었다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:57 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대