• 통큰쿠폰이벤트-통합
  • 통합검색(15,479)
  • 리포트(13,581)
  • 자기소개서(714)
  • 시험자료(711)
  • 방송통신대(242)
  • 논문(202)
  • 서식(22)
  • ppt테마(5)
  • 이력서(2)

"제어신호" 검색결과 141-160 / 15,479건

  • [정보통신] VHDL을 이용한 신호제어
    교통 신호 제어기 .1. ... 교통 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다. ... 설계하고자 하는 교통 신호 제어기의 동작을 좀 더 상세하게 기술하면 다음과 같다.가.
    리포트 | 5페이지 | 1,000원 | 등록일 2004.06.25
  • 대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라.
    대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라.지능형 교통시스템의 정의◆ 개념지능형교통시스템(ITS : Intelligent ... 컴퓨터 등의 첨단기술과 접목시켜 교통의 이동성, 안전성, 효율성 및 교통 환경을 혁신적으로 개선하는 신 교통체계이다.◆ 기대효과▷ 교통 혼잡 완화교통량의 변화에 따른 실시간 교통류 제어 ... 대기시간 감축, 차내 혼잡 감소등 대중교통의 서비스 향상▷ 교통 안전성 향상 (교통사고 60% 감소)교통사고 상황, 도로공사, 기상변화 등 차량과 도로의 위험상황에 대하여 자동경고 및 제어
    리포트 | 8페이지 | 1,000원 | 등록일 2010.06.13
  • [자동화] PLC 신호제어
    신호등 예제 프로그램그림과 같은 신호등의 프로그램을 만드시오.조건은 1. 동시신호2. 녹색등 지연, 비상시 모든 램프 점멸3. ... Start시 1번 신호등부터 동작
    리포트 | 1페이지 | 1,500원 | 등록일 2002.12.01
  • Max+plue[VHDL]를 이용한 네거리 신호제어기 설계
    네거리 신호제어기 설계⑴ 설계 개요네거리 신호등은 남북방향의 3개의 불빛(빨간불, 노란불, 파란불)과 동서방향의 3개의 불빛(빨간불, 노란불, 파란불) 총 6개의 불빛이 있습니다 ... 여기서 좌회전 신호는 없다고 가정합니다. ... 신호등이 커져 있는 시간은 빨간불과 파란불 상태에서 각각 3 clock 동안 유지하고, 노란불 상태에서는 1 clock을 유지한다고 가정했습니다.신호등의 6개의 불빛을 light :
    리포트 | 3페이지 | 2,000원 | 등록일 2007.06.27
  • [논리회로] 교통신호 제어기의 모델링
    교통신호 제어기의 모델링1하나의 process문을 두 개의 process문으로 나누어 표현하라.library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all
    리포트 | 7페이지 | 1,500원 | 등록일 2003.05.28
  • 대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라
    대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라신호제어 시스템에 대하여 기술하기에 앞서 교통신호기에 대해서 알아보고자 한다.1 ... ) 내가 생각하는 교통 신호 제어 시스템현재의 신호체계는 시간차를 두고 신호를 자동으로 점멸하여 차량이나 보행자가 이동할 수 있게 되어있다. ... 사 람등의 교통류에 대하여 지정된 행동을 취하도록지시하거나 경고하는 장치이다.2) 신호기의 종류교통신호기의구분은 제어기의 하드웨어 측면이나 기능 구분에 의해 구분하기 보다는 운 영
    리포트 | 6페이지 | 1,000원 | 등록일 2007.10.31
  • [전기전자] 교통신호 제어기에 관한 VHDL 코딩
    컴파일과 웨이브폼도 해봐서 문제없었으니깐 안심하시고 쓰세요.
    리포트 | 4페이지 | 1,000원 | 등록일 2003.01.12
  • 대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라.
    흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라.1. ... 대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라.과목명 :학과 :학번 :성명 :제출일:담당교수 :최병재교수님대도시 전체의 교통 ... 정보를 제공하는 가변전광판(VMS), 교통흐름을 감시.관리하는 교통관리센터를 구축하여 도로의 효율을 높이고자하는 시스템이다.특징본 시스템은 현장설비(차량검지기, 영상검지기, 전자교통신호제어
    리포트 | 8페이지 | 1,000원 | 등록일 2007.01.17
  • 논리회로 신호제어기(교차로-2센서) 설계
    신호제어기(교차로-2센서) 설계현재상태입력다음상태출력ABCDWTETA+B+C+D+EWREWYEWGNSRNSYNSG0000XX00011000010001XX00101000010010XX001
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • [정보통신]DTMF신호를 이용한 실시간 도어록 제어 방법 설계 및 구현
    DTMF신호를 이용한 실시간 도어록 제어 방법설계 및 구현목 차I. ... 도어록 제어 방법방문자의 출입을 위한 도어록 제어 방법은 주인의 재중시에는 도어폰에 연결된 버튼에 의한 전기신호제어되고 있다. ... 비밀번호가 입력되면, MOBELL 시스템은 비밀번호 일치 여부를 판단하고 비밀번호가 일치하면 도어록 제어 신호를 보내 도어록을 제어한다.
    리포트 | 36페이지 | 1,500원 | 등록일 2005.01.27
  • [교통]대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라
    대도시 전체의 교통 흐름을 원활하게 하기 위한 교통 신호 제어 시스템을 고려하고, 이에 대하여 기술하라.필자의 생각으로는 현재 개발 확산중인 ITS를 조속히 넓혀 확산/발전시켜 교통 ... ◆첨단교통관리분야 (ATMS : Advanced Traffic Management Systems)·실시간 교통제어(ATC : Advanced Traffic Control): 교통량 ... 심각하게 겪고 있는 교통문제의 주요 원인의 하나는 교통체계를 구성하고 있는 도로, 자동차, 운전자 등의 구성요소간 정보교환이 원활하지 않은 데서 찾아볼 수 있다.예를 들면 교차로에서의 신호주기가
    리포트 | 4페이지 | 1,000원 | 등록일 2006.06.23
  • [통신신호처리] ATM망에서 버퍼의 임계값 예측을 위한 퍼지 제어 알고리즘에 관한 연구
    그리고 망의 과밀시에 저순위를 갖는 셀을 먼저 폐기시켜 전체적인 서비스의 QoS를 만족시키는 우선순위 제어방법을 권고하고 있다[1].우선순위 버퍼 제어기법에는 시간 우선순위 제어기법과 ... 퍼지 제어 알고리즘퍼지 제어기를 사용하는 목적은 고순위 트래픽 세기 비율과 저순위 트래픽 세기 비율에 따라 퍼지 추론 과정을 걸쳐, 그 결과로 버퍼의 임계값을 제어함으로써 발생되는 ... 위한 퍼지제어 알고리즘을 제안한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2004.04.19
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    제어기에서 ADC로 Start-convert 신호 전송3. ADC는 샘플링 시작 ... Crosswalk Controller 횡단보도 제어기5. ADC Controller6. ... 개요12case 구문을 사용한 BCD Counter 만들기23case 구문을 사용한 GRAY Code Counter 만들기34case 구문을 사용한 Dual Counter 만들기45횡단보도 제어
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 최종합격한 인천교통공사 신호직 합격자소서입니다.
    자동제어, 신호 및 시스템, 전력전자공학을 수강하면서 PSIM, MATLAB 과 같은 프로그램을 통해 제어와 통신분야의 지식을 갖추었습니다.이러한 경험들은 직무에 지원하게 된 계기가 ... 제어시스템의 이해를 도울 수 있는 과목들을 수강함으로써 신호, 전기설비 점검, 유지보수에 필요한 역량을 갖추도록 노력했습니다. ... 신호설비의 유지보수뿐만 아니라 설비의 문제 발생 시 대처능력이 필요하기 때문에 전력계통의 이해, 제어시스템의 이해가 필요합니다.스마트그리드 팀 프로젝트를 통해 현대화된 에너지시스템에
    자기소개서 | 5페이지 | 3,000원 | 등록일 2021.06.08
  • 논리회로실험_신호등(사거리) 레포트
    실험 내용남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다.1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 상태의 출력 값이 중복되는경우가 있기에 회로의 최적화를 위하여 불필요한 경우를 줄이게 되면 최종 상태는 표 2와 같다.2) State Transition Diagram그림 1 교통신호제어기 ... 그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다.3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • [한양대 기계공학부] 동역학제어실험 실험11 PWM 모터 구동 A+ 자료
    DC 모터의 경우 그 회 전수를 제어하기가 아주 어렵기 때문에, 회전 각도를 측정하는 Encod입력되는 전압에 따라서 모터가 구동할 때 센서의 신호를 계측하면 [그림.5] 와 같은 ... 실험 레포트실험 11PWM 모터 구동과목명.동역학제어실험1(10651)제출일.2022. 12. 13.공과대학 기계공학부X학년, 학번 20XXXXXXXXO O O1. ... PWM 모터 구동 원리Pulse-width modulation (PWM)은 디지털 시스템에서 아날로그 구동부를 제어할 때 많이 사용되는 방식으로 모터와 같은 기계 부품부터 LED 와
    리포트 | 19페이지 | 3,000원 | 등록일 2023.01.07
  • 인하대 전자회로실험1 PWM을 이용한 LED, 모터 제어 결과보고서 [tinkercad]
    PWM방식은 전압신호의 전압파형을 이용해서 원하는 전압신호의 평균값을 출력하는 것이다. 0~255사이의 값을 입력하여 한 주기당 HIGH의 비율을 결정해서 신호의 세기 즉, 전압신호의 ... 서보모터는 PWM의 원리로 작동하며, 회전각도는 제어 펄스에 적용되는 펄스의 지속시간에 의해 제어된다. ... 서보 모터를 제어할 때에는 PWM제어를 사용한다.과제서보모터의 날개가 정확히 0, 45, 90, 135, 180 도 방향지시를 반복하는 프로그램을 작성회로도코딩결과0초(0도)1초(45도
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.19
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • plc 리포트
    제어로서, 대표적인 것이 가정의 세탁기 제어나 교통 신호제어, 네온 사인의 점등 및 소등 제어와 같은 우리 일상생활과 밀접한 곳에서 많은 실용 예를 볼 수가 있다.3)전 단계의 ... 제어 결과에 따라 다음에 행할 동작을 선정하여 다음 단계로 이행하는 경우조건제어 - 검출기의 신호를 이용조건 제어는 입력 조건에 상응된 여러가지 패턴 제어를 실행하는 것으로서, 자동화 ... 다음 동작으로 이행하는 경우순서제어 - 검출기(센서)의 신호로 동작순서 제어제어의 각 단계를 순차적으로 실행하는 데 있어 각각의 동작이 완료되었는지의 여부를 검출기 등으로 확인한
    리포트 | 16페이지 | 2,500원 | 등록일 2020.12.12
  • 진동 신호의 시간 및 주파수 영역 해석, Auto-correlation과 Spectral density 만점 레포트
    우수한 제품을 생산하기 위해서 엔지니어는 진동현상에 대한 분석, 측정, 제어 능력이 필요하다. ... 이러한 능력들을 갖추기 위한 하나의 과정으로 이번 실험에서는 function generator와 signal analyzer를 이용하여 진동 신호의 시간 및 주파수 영역 해석을 수행하였다 ... 따라서 본 실험에서는 실제로 주파수 분석기를 사용하여 그 사용법을 익힘과 동시에 시간 영역(time domain)에서의 신호가 주파수 영역(frequency domain)에서는 어떻게
    리포트 | 19페이지 | 4,000원 | 등록일 2023.07.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대