• 통큰쿠폰이벤트-통합
  • 통합검색(299)
  • 리포트(285)
  • 시험자료(7)
  • 논문(5)
  • 자기소개서(1)
  • 방송통신대(1)

"4 bit Counter 설계하기" 검색결과 141-160 / 299건

  • 카이스트 전자공학실험2 실험1 Combinational Logic Design, Flip-Flop, and Counter 결과보고서
    (이번 실험에서는 단지 2비트를 비교하므로 FF을 사용하지 않았다.)② 1의 보수와 2의 보수4bit일 때를 생각해보자.(=16)가지 수, 즉 0~15까지 표현 할 수 있다. ... 고찰① Magnitude Comparatorbit 별로 나누어서 설계한 회로의 경우 FF을 사용하여 무한히 많은 비트를 비교할 수 있다. ... 설계할 때 Don't care term이 없기 때문에 설계하기에 불편한 단점이 있다.< T Flip-Flop >T Flip-Flop은 펄스가 입력되면 현재와 반대의 상태로 바뀌게 하는
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • 레지스터 실험(결과)
    실험 결과- 실험 결과(1) 실험 1 : 4비트 우측 시프트 레지스터DataCPABCD1↑10001↑11001↑11101↑1111(2) 실험 3 : 4비트 좌측 시프트 레지스터Clock ... 칩이 4개 있었다면 아마 사진보다는 좀 더 직관적인 회로 구성이 가능할 것이다.이번에 실습한 실험 1과 실험 3은 4비트 시프트 레지스터를 좌, 우로 데이터가 이동하는 것을 확인해 ... 동일한 수열을 생성하기 위해서, 탭의 순서는 전통적인 LFSR의 순서와 반대로 된다.
    리포트 | 5페이지 | 2,000원 | 등록일 2012.10.11
  • 실험6결과.Shift.Register&Counter
    출력 파형- 4진 Count-Up/Down 카운터를 구성하기에는 IC가 많이 필요하고, 회로 구성이 복잡하기 때문에 기본 동작을 살펴보기 위해 2진 카운터로 설계하였다. 2개의 J-K ... 리플 카운터를 구성하고 각 단의 출력 Q에서의 파형을 측정하라.회로 SEQ 회로 \* ARABIC 2. 4bit Binary Count-Up Counter그림 SEQ 그림 \* ARABIC ... 하지만 설계 목표인 10진 카운터로 동작하기 위해서는 10(1010)값이 되었을 때 Clear 동작을 수행하도록 회로를 구성해야 한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.27
  • dmac final report
    있었다.그래서 이 문제를 해결하기 위해 새로운 방법을 적용하였는데, 바로[31:8] bit를 통째로 해석하여 Chip Select Enable 신호를 출력해 주는 것이다. case문이 ... 살펴보면 이러한 동작들이 원활히 진행되고 있음을 확인할 수 있다. 2, 4, 8의 상태를 거치며 Counter Register[0], ... State = 2는 Read 1, State = 4는 Read 2, State = 8은 Write 상태이므로, 이들이 Data_Size만큼 반복되며, Data Size Counter
    리포트 | 19페이지 | 2,000원 | 등록일 2012.02.29
  • 서강대학교 디지털논리회로실험 9주차결과
    Dot matrix 4개를 display하기 위해서는 우선 decoder 2개를 이용하여 출력이 4bit을 조정할 수 있게끔 바꿔주고 ROM의 출력을 8bit까지 늘려 내용을 확장해 ... Parallel방식은 1 clock당 출력단의 bit수만큼 data전송이 가능하다.⑤ SRAM을 D Flip-flop으로 설계● Read동작에서는 /SEL신호를 Low로 해서 Chip ... 이 경우에는 DIP_SW[3..0]로 ROM에서 읽어주는 주소를 변화시켜가면서 확인한 LED7-0의 변화와 4-bit synchronous counter로 ROM에서 읽어주는 주소를
    리포트 | 7페이지 | 2,000원 | 등록일 2014.01.02
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    7485 비교기를 이용하여 4비트 2진수를 2개 입력 받아 같으면 A=B의 출력이 1로 되어 알람 LED의 불을 반응시킨다.오전 오후를 구분하는 방법은? ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 12진 카운터제작에 있어 사용된 소요 부품7 세그먼트 (FND) 10개DM74LS47 (BCD to 7 세그먼트 디코더) 10개DM74LS90 (Binary Counters) 10개DM74LS04
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    이때 1의자리 숫자에 올수있는 1부터 9까지 수를 표현하기 위하여 4bit을 각각 모두 표현하였으며, 10의자리 숫자에 올수있는 1의 수를 표현하기 위하여 0001 또는 0000 만 ... Counter7. 16X4RAM8. 유한상태머신회로9. Dotmatrix10. Stopwatch디지털시스템 실험 결과보고서실험제목@ 1. ... to 7 Segment실험목표1. 4bit binary를 8bit BCD code 로 변환하는 컨버터를 4-to-16 라인 디코더를 이용해 설계한다.2.
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 부경대 기계자동차공학과 마이크로프로세서 응용 및 실험 텀프로젝트
    이 변수는 8bit 중 6,5,4 비트만을 이용하여 10의 자릿수만 표현이 되는데, ‘>>’ 연산을 통하여 3,2,1비트로 출력하는 정보를 옮겨 사용하면 1의 자릿수도 표현이 가능하다 ... - Bit 6 : 각 변환마다 이 비트가 ‘1’로 설정되면 AD변환을 시작한다. ... 본론1) 설계과제 진행 과정⑴ 만능기판과 브레드보드를 이용하여 회로 구성하기회로를 구성하기 전에 대략적인 흐름도를 그려 보면거리측정센서ATmega32LED dot matrix전류증폭기순일
    리포트 | 23페이지 | 3,000원 | 등록일 2012.12.24
  • [토끼] Synchronous MOD 12 Counter, 10 Counter, N Counter 설계 및 구현, 검증
    그러므로 리플카운터의 장점인 저전력 설계를 제외한 대부분의 설계에서는 동기형 2진 카운터가 선호된다.그림(ⅳ) 표(ⅰ)리플카운터 보충자료밑의 그림은 4비트 2진 리플카운터의 논리도이다 ... 이번 실험에서는 Synchronous Counter를 이용하여 Mod 10, Mod 12 Counter등을 제작하여 그 특징에 대하여 알아본다.4.Background0) 카운터란? ... 1일 때 하강 카운트를 하는 것으로 정하면 새로운 변수 EN은 카운터를 인에이블하는 입력으로서 EN=1이면 정상적인 상승 또는 하강 카운트를 하고, EN=0이면 카운트를 중단한다. 4비트
    리포트 | 36페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2014.06.08
  • 2진계수기 실험보고서
    Counter4Counter의 차이점동기식 4bit Counter란? ... 역변환도 동일하다.따라서 십진법 숫자 729는 이진화 십진법으로 0111 0010 1001로 표현된다.많은 컴퓨터가 8비트를 묶어서 1바이트로 처리하기 때문에, 이런 환경에서 이진화 ... 소감 및 고찰- 이번에 한 실험은 2진 계수기로써 up/down Counter설계를 해보았다.일반적으로 카운터의 종류는 세 가지 요소에 의해서 구분할 수 있는데,동기식/ 비동기식
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • VHDL을 이용한 IR리모컨 구현및 시뮬레이션과 데모
    IR의 내부 (하드웨어)IR을 구현하기 위하여 하드웨어 내부의 이해가 필요하다. ... 실제 수신부그림 4. 실제 송신부나. ... 2010년 12월 전자공학종합설계과제(2) 설계최종보고서IR remote-con Transceiver최아랑 전자공학과 20721767( Choi Ah Rang 20721767 )요
    리포트 | 11페이지 | 4,000원 | 등록일 2010.12.27
  • VHDL을 이용한 digital watch 설계
    디지털시계의 설계는 이전에 실습한 Mux, Counter등으로 이루어져있으므로 각각의 기능을 하도록 설계한후 그 기본기능을 바탕으로 Top디자인에서 재구성하는 방법으로 설계하게된다. ... 세그먼트에 표시하기 위해 10의자리와 1의자리를 따로 카운트 한다. 1의자리 가 9에서 0이되는순간 10의자리에 올림을 주고 카운트 가 59에서 00이되는순간 캐리비트를 출력하여 올림을 ... 이렇게하면 사용하지않는 핀을 비활성시켜 과열 및 오작동을 막아줄수 있다.4.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 부산대학교 기계공학부 기계공학응용실험 레포트 ( PLC의 활용)
    설치의 간편성4. 유지보수의 편리성5. 고 신뢰성6. 프로그램의 고기능성(제어회로 설계가 용이) ... an ON conditionXICExamine a bit for an OFF condition.XIO② Timer/Counter 명령어Count downCTDCount upCTUReset ... 기본 시퀀스 명령에 대해 조사하여라.기본 명령어는 릴레이회로의 시퀀스 전개도와 같이 시퀀스를 PLC내에서 실현하기 위한 명령어로 제어신호의 입력과 출력 명령어 , AND, OR 와
    리포트 | 8페이지 | 2,000원 | 등록일 2013.04.30
  • [디지털시스템실험(Verilog)] Address Generator, PC Calculation Unit, Branch Handler 예비보고서
    = 2일 때 nextpc = register, select = 3일 때 nextpc = offset위의 설계 조건을 구현하기 위해, 32bit full adder로 pc+offset의 ... 위의 설계 조건을 만족하고 있음을 확인할 수 있다.② PC Caculation Unit다음 PC(Program Counter) 값을 계산한다. ... 4to1 MUX로 입력시켜, flags를select bit으로 한 결과값을 g[3]으로 출력한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2011.10.05
  • 9.DAC & ADC[예비]
    resistor- Weighted resistor를 이용하여 아래와 같이 4-bit D/A converter를 설계하였다. ... Null summing point는 전압이 접지로 고정되어 모든 전압이 저항에 걸리도록 한다.4) 그림 2와 3을 이용하여 4-bit D/A converter를 설계하시오.① Weighted ... 위에서 설계한 converter는 4-bit이기 때문에 resolution은가 된다.② accuracy (정밀도)- converter에서 변환된 출력값이 이론값과의 일치 정도를 나타내는
    리포트 | 8페이지 | 1,000원 | 등록일 2011.07.05
  • 결과보고서-Exp 1. Combinational Logic Design,Flip-Flop, and Counter.hwp
    Self- Starting Up Counter-7474 2개-7400 2개: 총 4개 필요결과6. ... -7404 1개-7408 1개-7410 2개:총 8개가 필요Asynchronous 3-bit Ring Up Counter7473 2개: 총 2개 필요Synchronous 3-bit ... 따라서 bit 수가 커지는 counter설계할 때에는 동기식을 사용하는 것이 바람직 할 것이다.반성 및 고찰저번학기에 했던 아날로그 실험과는 다를 거라는 생각에 막연히 회로구성은
    리포트 | 11페이지 | 2,000원 | 등록일 2010.10.11
  • DC 모터와 Microcontroller를 사용한 위치 제어 시스템 설계 및 구현
    사용하여 얻은 위치 신호를 feedback 받으며 원하는 위치로 제어하기, 4) 엘리베이터를 동작시키기 위한 적절한 feedback 위치 제어기를 설계하기 와 같은 단계를 포함한다.Problem ... 또한 Counter1/3 에서와 같이 Counter 2를 PWM모드로 사용하기 위해서 TCCR2 레지스터의 Setting이 필요하다. ... -Bit 6, 3 – WGM21:0: Waveform Generation Mode이 비트Counter의 Counting Sequence, 최대 Counter 값(TOP), 어떤
    리포트 | 18페이지 | 4,000원 | 등록일 2010.06.02
  • 시프트레지스터와 카운터
    16비트 자리이동 카운터나 16개의 플립 플롭이 필요- 4개의 플립플롭과 디코더를 구성하기 위한 16개의 AND게이트가 필요하다.- 자리 이동 레지스터와 디코더를 조합하여 타이밍 ... 이용하여 4단 2진 Down 카운터를 설계하라.(8) NAND gate를 사용하여 Count-Up과 Count-Down할 수 있는 회로를 설계하라.(9) J-K F/F를 사용하여 ... 0데이터는 'Q' 출력의 각각 플립플롭에 저장되서, 이 배열에서, 4개의 단계 "슬롯"이 가능하며, 그러므로 4 비트 레지스터이다.
    리포트 | 20페이지 | 1,000원 | 등록일 2010.12.20
  • 설계 프로젝트 엘리베이터 설계 레포트.
    이 5bit의 출력은 각층의 정지 비트와 비교하기 위해 각층수와 같다.c) 3clock delay현재 층과 멈춰야 할 층이 같을 경우 문이 열리고, 중량감지하고, 닫혀야 하는데 이 ... 전기전자 기초실험설계 프로젝트 Ⅱ제 목 : 엘리베이터 컨트롤러 설계모식도2) 포트a)INPUTclock, External switch ( UP X 4, DOWN X 4),Internal ... 나온 3bit를 입력받아 5bit로 출력을 내보내 준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.06
  • 실험 6. 시프트레지스터와 카운터 (Shift Register & Counter)
    F/F을 이용한 4단 2진 Count-Up 리플 카운터(7) J-K F/F을 이용하여 4단 2진 Down 카운터를 설계하라.J-K F/F을 이용한 4단 2진 Down 카운터(8) ... 처음의 그림은 4비트 2진 리플 카운터를 나타낸 것으로 여기의 모든 J와 K 단자에는 High가 인가되어 있다. ... 왜냐하면 의 출력값이 0에서 1로 변하기 때문이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2012.03.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대