• 통큰쿠폰이벤트-통합
  • 통합검색(264)
  • 리포트(258)
  • 논문(3)
  • 시험자료(2)
  • 자기소개서(1)

"74LS47" 검색결과 141-160 / 264건

  • 10진 카운터 제작 결과레포트
    사용된 것이다.74LS90에서 출력된 신호는 다음 디지털 IC인 74LS47에 입력된다. 74LS47은 10진법의 신호를 7segment에 각각 0∼9까지 숫자의 모양이 나오도록 ... 사용기기 및 부품- SMPS, 펑션제네레이터, 74LS90, 74LS47, 7 Segment, 저항(330)4. ... 실험에서는 7세그먼트를 구동하기위한 10진 카운터 74LS90 그리고 2진수를 입력 받아 7세그먼트를 구동 시켜주는 74LS47를 사용하여 세그먼트 LED를 구동해본다.2.예비레포트
    리포트 | 3페이지 | 1,500원 | 등록일 2010.03.16 | 수정일 2016.11.04
  • 99진 카운터 및 폭탄.
    세그먼트 ,74LS192,74LS47,74LS42 디코더 출력이 HIGH 일 경우 ,XOR 출력은 신호원과 180 의 위상차가 있는 구형파가 발생된다 . ... 16 조 Term ProjectContents 목적 소자및재료 배경이론 회로도 회로구동원리 pspise 결과 결론및고찰사용소 자 74LS192(3) 74LS08(2) 74LS47(3 ... 타이머부 )회로도 ( 폭탄부 )동작방 법 1 자리에 해당하는 카운터의 Clock 에 스위치 입력이 들어가면 입력된 Clock 에 해당하는 수의 A,B,C D 출력이 나오고 이 출력을 74LS47
    리포트 | 15페이지 | 1,000원 | 등록일 2010.06.18
  • Lab(4) 7 Segment LED Display 실습
    번호와 기능을 이해한다.b)TTL74LS147 10-line to 4-line encoder IC를 이용하여 BCD코드 발생을 실습하고 기능을 이해한다.c)TTL 74LS47 BCD ... .#4]74LS147 10-line to 4-line Encoder, 7-Segment LED Display, 74LS47 BCD to 7-segment LED Display Decoder-driver ... Driver IC: 74LS47 BCD to 7-segment LED decoder/driver IC2)Common Cathode(CC) type 7-segment LED display
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.23
  • BCD to EX-3 가감산기 설계 보고서
    변환된 입력 값을 받아 2개의 세그먼트를이용하여 감산결과, 가산결과를 둘 다디스플레이합니다회로도 설명:이 회로는 마지막 연산 결과를 디스플레이해주는 회로입니다.회로에서 보이듯이 74LS47N ... 번째 74LS83N 가산기를 거친 3초과 코드는 74LS86N XNOR게이트로 입력되어집니다.XNOR게이트로 들어가는 이유는 74LS83N 가산기는 가산기 기능만을 가지고 있기에XNOR게이트를 ... 여기서 발생된 캐리와 출력값을 다음 블록도로 전해지게 됩니다.회로도 설명:첫 번째 74LS83N 가산기를 거친 3초과 코드는 그대로 세 번째 74LS83N 가산기로 입력되어지고 두
    리포트 | 11페이지 | 1,000원 | 등록일 2011.05.25
  • 디지털 만보기 만들기
    74LS47, Flip-Flop 스위치 sw200동 작 원 리74LS192를 사용하여 10진 UP-DOWN 카운터를 출력 1자리에 해당하는 카운터의 CLOCK에 스위치 입력이 들어가면 ... 입력된 CLOCK에 해당하는 수의 A, B,C,D출력이 나오고 이 출력이 74LS47에 넣어 FND을 구동 FND의 표시가 9에서 0으로 넘어갈 때 Carry 신호가 발생되므로 이 ... 신호를 상위(10 단위)의 카운터 Clock에 연결하면 10단위 수가 구성 330옴 저항을 74LS47과 7segment를 연결할때 사이에 연결함으로써 7segment의 파손을 방지P-spice완성된
    리포트 | 13페이지 | 1,000원 | 등록일 2010.06.18
  • 텀프로젝트 디지털 초시계입니다.
    디지털 시계에 사용되는 부품FND 2개74LS47 2개74LS390 2개74LS08 1개NE555 1개저항 100K, 22K커패시터 0.01uF, 10uF3. ... FND에 전압을 바로 인가할 경우 FND에 과전류가 흐르게 되고, 열이 많이 발생하여 FND내의 LED가 타게되므로 인가하는 전압값에 적절한 저항을 연결하여 사용하여야 한다.- 74LS47 ... (Quad 2-Input AND Gate)74LS08은 입력이 2개인 AND게이트가 4개 들어있는 소자이다.4.
    리포트 | 7페이지 | 1,500원 | 등록일 2010.10.28
  • 비동기식 10진 카운터 설계 결과 보고서(MOD-10 Counter)
    1개* HD74LS76AP 2개- 7-Segment* FND507 1개* SN74LS47N 1개* 저항 : 3301개 (보호저항)Block DiagramⅤNE555전원공급회로비동기10진 ... Power Supply- Function Generator- NE555* NE555 1개* 커패시터 :,각 1개* 저항 : 가변저항,,각 1개- 비동기(MOD-10) 10진 카운터* HD74HC00P
    리포트 | 15페이지 | 2,000원 | 등록일 2012.03.31
  • 홀소자를 이용한 저금통 동전 수 카운팅
    자석이 접근할 때마다 클럭이 발생하여 카운터에 전달.기초학습 – 74LS47BCD코드를 십진수로 표기되도록 7비트의 7-segment LED decode/drive 신호 출력 Lamp ... (NAND게이트)174LS472A3144EU (Hall-Effect sensor)1150Ω14기초학습 – 74LS39316진 카운터 SN74LS393 2, 8 출력을 서로 종속 접속하면 ... 홀센서를 이용한 카운터2분반 5조 김병민, 정진용CONTENTS학습목표 부품 및 기기 기초 학습 MultiSim 회로도 완성작품 사진 및 구동 영상 결과 및 고찰학습목표74LS393
    리포트 | 15페이지 | 1,500원 | 등록일 2010.12.15
  • 4. 디코더,인코더 및 다중화기 역다중화기 7세그먼트 디코더
    실험 결과(Experimental Results)(1)74LS47과 7segment를 이용하여 표 4-2에 입력과 출력을 기록하여라.입력출력EDCBA7segment********** ... ***0010210011310100410101510110610111711000811001974LS47 CONNECTION DIAGRAM각각 대응되는 게이트에 회로를 연결하여 SEGMENT에 ... 표시되는 숫자를 기록한다.(2) 그림 4-4의 회로를 구성하고 결과값을 관찰한 뒤 74LS157을 이용하여 동일한 결과가 나옴을 확인하여 표 4-3에 결과를 기록하여라.(1A:0,
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.08
  • 디지털 논리회로 실험 텀 프로젝트 발표(간단한 디지털 주사위 게임)
    사용부품, 공구기판FND0.33uF커패시터10K·33K저항스위치IC(HA17555, 74LS192, 74LS47, 74LS10)AA Size 건전지x4ea용 건전지홀더전선와이어스트립퍼납땜인두
    리포트 | 11페이지 | 2,000원 | 등록일 2010.06.11
  • 10진수를 3진수로 변환하는 진수변환기 결과ppt입니다.
    정리 지출 내역 IC 수량 금액 74LS47 3 1800 74LS147 3 1800 74LS04 3 1800 74LS08 5 3000 74LS11 3 1800 74LS32 5 1800
    리포트 | 24페이지 | 1,500원 | 등록일 2011.05.22
  • 논리소자를이용한 전자회로실습 텀프로젝트
    74LS00 * 2 74LS90 74LS47 트랜지스터 C3198 2N2222A 7segment FND507 저항 470Ω, 1k ㏀ 4.7 ㏀, 10 ㏀ 커패시터 100㎌, 104 ... 해당 입력 신호가 들어올때만 0신호가 되고 나머지 신호가 없는 단자들은 모두 “1”을 출력(대기상태)하고 있다.회로구동원리 / 소자설명사용된 소자74LS47A,B,C,D는 1,2,4,8 ... 수식진리표NANDMODEINOUTABY*************110회로동작A,B 입력 모두“1”인 경우만“0”을 출력하고 나머지 경우는“1”을 출력한다.회로구동원리 / 소자설명사용된 소자74LS9074LS90은
    리포트 | 24페이지 | 1,000원 | 등록일 2010.06.18
  • 적외선센서미니카
    진수를 출력해주는 BCD COUNTER. 74LS47 - 7490 으로부터 받은 2 진수를 7-segment 에 10 진수로 출력해주 는 DECODER. 7-SEGMENT – 10 ... 일정시간 (30 초가량 ) 동작 후 자동으로 동작 종료 .소 자 및 재료 NE555 - 펄스를 발생시켜 카운터 타이밍 및 회로 ON/OFF 담당 . 74LS90 - 펄스를 받아 2
    리포트 | 12페이지 | 1,500원 | 등록일 2011.06.11
  • 7-segment / Decoder 회로 설계
    실험 준비물- 직류전원장치 1대- 멀티미터 또는 오실로스코프 1대- Bread Board 1대- 저항(330Ω) 8개- Decoder(74LS47) 1개- Hex Inverter(74LS04
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.08
  • 디지털시스템(TTL CLOCK)
    TTL Clock에 사용된 소자들74LS04, 74LS86, 74LS390, 74LS90, 74LS47, 74LS138, 74LS08, 74LS934. 구성 성분? ... 고SN74LS47BCD to Segment Decoder/Driver+5V정면도7세그먼트 LED의 드라이버open collector 타입출력내압:15V 6과 9의 표시관련:74247SN74HC04Hex ... 대표적인 IC의 사례SN74 시리즈의 IC에는 74 다음에 LS라든가 HC라는 문자가 들어있는 것이 있다. LS(Lowpower Shotkey)는 저소비전력을 나타내고 있다.
    리포트 | 51페이지 | 2,000원 | 등록일 2010.07.02
  • 선물옵션 발표
    28,056,300 엔씨소프트 23,872,000 위메이드 27,071,000 J CE 27,231,500 합계 282,249,200 28.2% 우량주 예상수익 ( 원 ) 수익률 경동제약 74,848,880 ... 대우증권 1 억 10% 키움증권 1 억 20% 삼성증권 1 억 10% 합계 10 억 현대차 와 얼굴들 금액 ( 원 ) 비중 (%) 현대차 2 억 20 % 기아차 2 억 20 % LS ... 에스오일 80,525,600 KT G 47,556,000 SK 텔레콤 116,592,000 한국쉘석유 27,141,500 합계 346,663,980 34.6% 금융주 금액 ( 원
    리포트 | 31페이지 | 1,500원 | 등록일 2013.05.28
  • 디지털 시계 제작 정리
    SN7490 진리표2) SN74LS47N그림 3. SN74LS47N그림 4. ... SN74LS47N과 7-segment display 연결③ 데이터시트를 보고 SN74LS47N과 SN74LS90N 사이를 연결한다.그림 17. ... SN74LS47N과 SN74LS90N 연결④ SN74LS90N 분과 초의 뒷부분은 10진 카운터로 연결하고 앞부분은 6진 카운터로 연결한다.
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 진수변환기(최종본)
    3 500 1,500 IC 2-input OR 74LS32 3 500 1,500 IC NOT 74LS04 2 500 1,000 IC 7-segment 디코더 74 LS47 6 500 ... 4 ~ 5/18 : 관련소자구입 및 제작완료 , 결과 PPT 작성 및 발표소요부품 및 예산 품명 수량 단가 가격 브레드보드 1 22,000 22,000 IC 2-input AND 74LS08
    리포트 | 24페이지 | 2,000원 | 등록일 2011.11.13
  • 인코더 디코더 실험 결과보고서
    이때 디코더(74LS47)의와의 입력 단자는 0으로 접지 시켜야한다.4) 74LS47의 출력에 7세그먼트 LED를 달아서 0, 1, 2, 3의 4가지 입력에 7세그먼트 LED가 제대로 ... 이때 디코더(74LS47)의와의 입력 단자는 0으로 접지 시켜라. ... /드라이버 (74LS47)의 output 7개를 7세그먼트 LED에 연결해 결과를 알아보는 실험이었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.15
  • 카운터 설계( 결과보고서), 36진 카운터
    사용된 부품과 데이터시트부품수량(EA)74LS90(Counter Chip)274LS47(segment Decorder)274LS08(And gate)2FND507(7-segment) ... ............................................3,4,53.2. 74LS47 (7-segment 용 Decoder IC)................ ... 이번 설계에서 우리는 74LS90소자를 10진 카운터로 작동시켜 2개(십의자리,일의자리)를 사용했다.
    리포트 | 12페이지 | 2,500원 | 등록일 2010.07.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대