• 통큰쿠폰이벤트-통합
  • 통합검색(544)
  • 리포트(516)
  • 시험자료(19)
  • 자기소개서(4)
  • 방송통신대(4)
  • 논문(1)

"8*1 mux" 검색결과 141-160 / 544건

  • A+ 디지털 시스템 실험 Simple Computer – Data Path <10주차 예비보고서>
    {Cin, S1, S0, A, B}의 입력을 통해 8가지의 산술 연산을 수행한다.- Arithmetic Circuit은 다음과 같은 회로 구성을 통해 구현할 수 있다.A-2 Logic ... MUX로 구성된다.- 연산 수행 제어를 위해서 {Cin, S2, S1, S0}의 제어 정보가 입력된다.A-1 Arithmetic Circuit- Arithmetic Circuit은 ... {Cin, S2, S1, S0}의 ALU Selection Bit를 정의한다.▶ MD : Mux D, Destination Register에 Microoperation 수행 결과를
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • 디지털시스템실험 12주차 결과리포트
    =4'b0111 Load R0 R0 00;SRAM[8] = 13'b0010000010100; // PC = 4'b1000 Load R1 R1 00;SRAM[9] = 13'b] ... ( S == 1'b0 )OUT = D0;else if( S == 1'b1 )OUT = D1;endendmodulemodule MUX4to1(D3,D2,D1,D0,OUT, S1, S0 ... , Reg0,Reg1,Reg2,Reg3);MUX2to1 MuxB(ConstantIn,Bdata,selectB,MB);FunctionUnit U2(Adata, selectB, FS,
    리포트 | 10페이지 | 2,000원 | 등록일 2018.01.03
  • 디지털시스템실험 10주차 결과리포트
    , Adata, Bdata, Reg0,Reg1,Reg2,Reg3);MUX2to1 MuxB(ConstantIn,Bdata,selectB,MB);MUX2to1 MuxD(DataIn,Fout ... [0]=ControlWord[9];assign Baddr[1]=ControlWord[8];assign Baddr[0]=ControlWord[7];assign MB = ControlWord ... U1(Daddr[1],Daddr[0],D3,D2,D1,D0);always@(posedge CLK) //상승클럭에서 write의 상태를 확인하여 각각의 Register 값을 결정.beginif
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.03
  • Combinational Logic Design Ⅱ Decoder, Encoder and Mux
    .응용과제2비트 2 : 1 MUX 회로를 설계하시오입력 A : BUS Switch 1,2입력 B : BUS Switch 3, 4입력 S : BUS Switch 8출력 Q : LED ... High값이 출력되고, 나머지 출력단자에서는 Low값이 출력됨.3x8 디코더 : 3개의 입력선과 8개의 출력선을 갖는 디코더MUX(Multiplexer)멀티플렉서 또는 데이터 선택기여러 ... 1, 24 : 1 MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch 1, 2출력 Q : LED 1Materials (
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • Combinational-Logic-Design-Ⅱ-Decoder, Encoder and Mux
    High값이 출력되고, 나머지 출력단자에서는 Low값이 출력됨.3x8 디코더 : 3개의 입력선과 8개의 출력선을 갖는 디코더MUX(Multiplexer)멀티플렉서 또는 데이터 선택기여러 ... .2비트 2 : 1 MUX 회로를 설계하기 위해 Source를 작성한 후 프로젝트에 Source를 추가한다.2비트 2 : 1 MUX 회로를 Synthesize – XST, Implement ... Fixture장치에 입력한 결과I0 : 11, I1 : 01, S : 0I0 : 11, I1 : 01, S : 1Inlab 2. 4 : 1 MUX 회로Source핀 설정SimulaI
    리포트 | 19페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • (예) 12. 멀티플렉서를 이용한 조합 논리
    진리표의입력출력A4B4∑4X*************1101000101011011110MUX8:1D0D1D2D3 YD4D5D6D7SelectCBA각 행은 MUX에서의 8입력중 하나와 ... 그 외 MUX의 다른입력들은 LOW상태가 유지되므로 D1과 D6라인 이외의 입력 중 하나가 선택되면 LOW가출력으로 나가게 되어 결국 진리표를 구현하게 된다.실제로 8입력 MUX는 ... ∑4X0000∑400110100001101000010101101∑4'1110이번 실험에서 8:1 MUX를 사용하여 16개의 조합을 가진 4입력 진리표를 구현 할 것이다.먼저 특이한
    리포트 | 5페이지 | 1,000원 | 등록일 2015.12.11
  • MUX & 7-SEGMENT LED DECODER
    //////////////always @( * )begin case (select)0 : mux_out ... 1. ... counter값이 f가 된다.else down_count
    리포트 | 7페이지 | 1,000원 | 등록일 2015.03.13
  • 05-논리회로설계실험-예비보고서
    실험 내용- 실험 1. 4:1 MUX를 설계하시오.(1) 4:1 MUX1) 진리표2) 모델링 (Procedure 사용)모델링library IEEE;use IEEE.STD_LOGIC_ ... 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 8논리회로설계 ... 경우도 가능함을 의미Y = S1’* S0’I0 + S1’* S0 * I1 + S1 * S0’* I2 + S1 * S0 * I3(4) 디멀티플렉서 (DEMUX)- MUX의 반대개념의
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2015년 2학기 중간시험 족보
    기본 컴퓨터의 ALU는 조합회로인가 순차회로인가4. 2x1 MUX를 AND게이트, OR게이트, Inverter를 이용하여 구현하고 동작을 상세히 설명하시오.(2점)5. ... 오른쪽 산술 시프트를 한번 한 것은 어떤 산술연산을 한것과 같은효과가 있는지 설명하시오.(2점)8. 십진수 -3에 해당하는 2진수에 대하여 8번 문제를 반복하여라. ... 금오공대 전자공학부 컴퓨터구조 성영휘교수님 2015년 2학기 중간시험 족보-ALU에 대하여 다음에 답하시오.(1~3번, 각 1점)-1.
    시험자료 | 2페이지 | 15,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • 자판기설계원리 모듈별 코드분석.
    = 0010010 2 표시 hex7, hex5=0000001 0 표시 hex 1001111 1 표시 hex2 = 0000000 입력 8 표시 hex3, hex1,hex0 = 0000001 ... d1id=1 dir_id=10104 eid=mBj5LJbuJW0JU+cZ/Kha2o9U5P+HOvEL qb=Ym9vdGgg6rOx7IWI enc=utf8 pid=fmV%2B4woi5TlssbdH4PKsss ... d1id=1 dir_id=10104 eid=mBj5LJbuJW0JU+cZ/Kha2o9U5P+HOvEL qb=Ym9vdGgg6rOx7IWI enc=utf8 pid=fmV%2B4woi5TlssbdH4PKsss
    리포트 | 37페이지 | 1,500원 | 등록일 2015.11.26
  • 전자전기컴퓨터설계실험2(전전설2)5주차예비
    결과값 중 하나만 1의 값을 가지며 나머지는 0을 갖는다.예를 들어 3x8 디코더에서의 경우 3개의 입력과 8개의 출력을 갖는다.다음과 같은 진리표를 통해 8개의 And gate 와 ... 모델링하면 쉽게 할 수 있다.2to1 mux의 경우엔 if문으로 작성하였고 4to1 mux의 경우엔 case문으로 작성하였다. ... 실험결과 (Results)1. 2비트 2:1 MUX를 설계하시오.코딩(text)// 2to1muxmodule two_one_mux(a,b,s,q);input [1:0] a,b;input
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 현대물리실험 x선
    (T=e ^{ - mux } or LnT=-mux) 흡수 물질을 다르게 함으로써 엑스선의 감쇠의 차이를 확인하고 파장에 따라 달라짐을 확인한다. ... 감쇠와 흡수체 물질의 종류1) 지르코늄 거르개를 사용하지 않은 경우(발생 전압:30kV, d=0.05cm)흡수체ZI/mA측정시간/초R/초^-1없음0.04303134C60.04302917Al130.04302118Fe261.00300104Cu291.0030017.8Zr401.00300198Ag471.003001142 ... -데이터 분석1.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.05.24
  • 논리설계실험 chap03 mux설계
    .- Case 구문을 이용하여 2x1의 MUX를 설계- 2x1을 이용하여 7개의 MUX를 이용하여 8x1 MUX 구현 설계- Case 구문만으로 8x1 MUX 구현 설계- If-then-else ... 이 때 선택 선으로 제어를 하면서 한 채널에 다수의 정보를 순차적으로 보낼 수 있을 것이다.( 실 습 1 )- Case 구문을 이용하여 2x1 MUX를 이용하여 8x1 MUX를 구성 ... 8x1 MUX를 설계했을 때 8개 중 어떤 정보를 output으로 내보냈는지 알아내기 위해서 최소한 8가지를 모두 표현해주는 3bit 이상이 되어야 할 것이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2008.10.26
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 결과보고서
    MUX, 4to1 MUX등의 유닛을 이용하여 구현한다. ... 마지막으로는 여러 Register 값들 중에서 Adata와 Bdata에 해당하는 값을 선별해내기 위해서 4-to-1 Mux를 사용했다. ... AA와 BA는 각각 [10:9], [8:7]에 해당하는 bit으로 Aaddr과 Baddr로 나뉘어 할당되었다. MB는 MUXB의 selector에 할당되었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2016.04.08
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    $tot1, t0 }의 입력을 통해 8가지의 산술 연산을 수행한다.Arithmetic Circuit은 다음과 같은 회로 구성을 통해 구현할 수 있다.4.1.2 Logic CircuitLogic ... b0 )OUT = D0;else if( S == 1'b1 )OUT = D1;endendmodulemodule MUX4to1(D3,D2,D1,D0,OUT, S1, S0);input [ ... Reg2, Reg3);in****************//*************** Multiplexer ****************/module MUX2to1(D1,D0,OUT
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • 아주대학교 논리회로실험 설계 에비보고서
    작동 원리 설명1. ... 이를 Counting한 후 3개의 출력 값만 뽑아 이를 74151 MUX 전체가 공유하는 MUX control signal로 이용한다. 4개의 MUX에서 나온 출력을 7-segment ... 구현에 사용하는 7-segment FND의 Datasheet는 아래와 같다.3번과 8번 단자는 Common Anode로써, 이 단자에 LOW가 들어갈 경우 Segment에 불이 들어온다
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • ATmega128_ADC 보고서
    ADLAR = 1 : 변환 결과 좌측 정렬bit 4:0 -> MUX4:0 (Analog Channel and Gain Selection Bits)? ... 8채널의 멀티플렉스된 단일 입력? 7채널의 차동 입력? 10배 또는 200배의 증폭률을 가진 2채널의 차동 입력? ADC 결과 값의 좌정렬? ... ADC의 입력 채널 및 차동 입력에서의 이득을 선택MUX 4:0 표? Bit 7 -> ADEN : ADC 인에이블(ADC Enable)?
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.30 | 수정일 2020.07.04
  • 디지털논리실험 이병기저 실험2 부호기 예비보고서 입니다.
    그림5 회로에 대해 진리표를 그려 그 동작을 확인하라.(8-to-1 멀티플렉서 논리회로)8-to-1 멀티플렉서의 논리회로는 위와 같고 아래와 진리표와 같이 동작함을 알 수 있다.(8 ... 따라서 최소 4 개의 4 : 1 MUX를 사용하여 16 개의 입력 라인을 확보해야하고 4 개의 출력 핀을 가진 로직을 사용하게 됩니다. ... blogId=asd7979&logNo=30109725011(1-to-8 디멀티플렉서 논리회로)(1-to-8 디멀티플렉서 진리표)출처: http://m.blog.naver.com/lagrange0115
    리포트 | 8페이지 | 1,000원 | 등록일 2017.04.30
  • VLSI 설계 및 프로젝트 실습 (인하대학교 전자공학과) XOR, MUX Layout Simulation 결과 보고서
    이를 그림으로 나타내면 다음과 같다.위와 같은 회로도를 가지는 MUX라면, s가 1일 때, A의64_8# VDD 4.0fFC1 a_n84_8# VDD 4.0fFC2 B VDD 6.7fFC3 ... MUX의 설계방법2 to 1 MUX 역시 XOR회로와 마찬가지로 논리식을 통해 설계할 것이다.2 to 1 MUX의 논리식은 As+Bs’으로 여기서 s는 선택신호 (Select signal ... VLSI 설계 및 프로젝트 실습 REPORTXOR, MUX Layout 및 Simulation1.
    리포트 | 21페이지 | 2,000원 | 등록일 2015.09.30
  • 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    다르고,모양은 동일1μs1MHz0111주기는 다르고,모양은 동일0.1μs10MHz0입력D0D1D2D3D4D5D6D7※분석- 여기서 사용한 74151 MUX 는 8개의 Data source ... *연습문제1) n - to 2^n 디코더는 2^n 개의 모든 minterm 출력을 내는 AND array 로 보면 n 개의 입력변수를 갖는 조합논리회로 설계에 유용할 수 있다. 3x8 ... ※분석 : select input 에 따라 switch 기능을 수행하는 MUX, DEMUX 는 한번에 하나의 Data source를 연결한다.만약 MUX의 입력신호가 여러개이고, DEMUX
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대