• 통큰쿠폰이벤트-통합
  • 통합검색(391)
  • 리포트(386)
  • 자기소개서(2)
  • 논문(1)
  • 시험자료(1)
  • 방송통신대(1)

"D Flip-Flop 설계" 검색결과 141-160 / 391건

  • [Ayeun]컴퓨터구조 CPU 설계 보고서
    K FLIP- FLOP : 7476D FLIP- FLOP : 7474 or 7476 ALU :Active high/low 신호 구분# Memory Unit(SRAM) #메모리 읽기 ... 컴퓨터구조 중간고사제목CPU 설계 보고서학과전자공학과학번성명제출일2018. 05. 06소요시간20시간*사전 이론 내용*1. 16비트의 CPU 설계의 레지스터기본 CPU에는 위와 같은 ... 레지스터,메모리,입출력 명령어- 제어함수와 마이크로연산#레지스터 참조 명령어- D7=1이고 I=0인 명령어, IR(0~11)로 12가지 명령어 표시 모든 제어함수는 D7I'T3= r의
    리포트 | 22페이지 | 3,000원 | 등록일 2018.12.21
  • JK Flip Flop 과 클락 생성
    실험목표- 동기식과 비동기식 Flip-Flop의 기본개념과 동작원리를 이해할 수 있다.- RS Latch, RS Flip-Flop, D Flip-Flop과 JK Flip-Flop의 ... 여부를 확인한다.- 실험 전 예비보고서를 준비할 때 D Flip-Flop과 JK Flip-Flop 차이를 확인한다.- 실험 전 예비보고서를 준비할 때 NOR와 NAND 게이트를 조합한 ... 기초전자회로실험 및 설계2 예비보고서제목 : JK Flip-Flop과 클락 생성1.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • 실험- 플립플롭의 기능
    또, D latch와 D flip-flop과의 차이점은? ... 클럭이 1이라면 D가 0일 때, Q는 0이되어 RESET이 되고 D가 1이라면 Q는 1이되어 SET이 된다.● 설계 및 고찰(1) 실험3에서 R=S=1일 때, Q,Q'은 어떤 상태의 ... - S=R=1 일 때 S-R NOR 래치는 출력이 모두 0이 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.10.23
  • 쉬프트 레지스터와 카운터
    Johnson 카운터의 특징을 이해하고 D FlipFlop과 JK FlipFlop을 이용하여 설계할 수 있다.- Timing Diagram가 상태천이도(State Transition ... 타이머의 1Hz 클락을 구성하고 D Flip-Flop 또는 JK Flip-Flop을 이용하여 Trailing Edge의 클락마다 동작하는 링 카운터 회로를 구성한다. ... 준 비 물- 전원공급기, 디지털 멀티미터, 함수발생기, 오실로스코프- Bread Board, 장비 Probe- 7474 Dual D FlipFlop, 7476 / 7478 Dual
    리포트 | 11페이지 | 1,000원 | 등록일 2016.04.12
  • 디지털 시스템 실험 RAM(Random Access Memory) 예비보고서
    Verilog로 작성되는 RAM은 Flip-flop으로 구성하므로 SRAM이라고 할 수 있다. ... SRAM 기억장치의 기본 단위는 하나의 비트(bit)를 기억하는 회로, 즉 Flip-flop이다. ... 이 Flip-flop의 묶음이 레지스터이고, 이러한 레지스터를 병렬적으로 나열해 놓은 것이 SRAM이다. 즉, SRAM은 레지스터 하나가 모여서 이루어진다.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • Mod - n 카운터
    , 7408, 7410- 7474 Dual D FlipFlop, 7476 / 7478 Dual JK Flip - Flop- 7493 4 – Bit Binary Counter, ... 실험목표- Flip-Flop을 이용하여 다양한 Mod – n 카운터(Counter)를 설계할 수 있다.- 비동기 카운터와 동기 카운터의 차이를 이해하고 비동기 / 동기 카운터를 설계할 ... - 설계문제 1)은 Mod – 10 Up 카운터인 반면 이번에는 JK Flip-Flop을 이용하여 Mod – 10 Down 카운터를 설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • [기초전자회로실험2] "Verilog Basic, FPGA / Shift register - FPGA" 예비보고서
    실제 구현은 Flip-flop 또는 Latch 로 구현됩니다. always 구문에서 값을 인가하는 경우 레지스터로 선언해야 합니다.reg sum; // 1비트 레지스터reg [7:0 ... Filed(사용자)에서 프로그래밍이 가능한 Gate array (디지털 회로 반도체)- FPGA의 장점? 간편하게 설계한 로직을 반복적으로 이식할 수 있다? ... 합성은 HDL을 이용한 설계에서 가장 중요한 과정이다.?
    리포트 | 8페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • 논리회로 hw3 - 로직웍스 이용
    여기식 및 출력식을 구하시오.< 축소된 상태도 >< Flip Flop 할당 >현재상태(Q _{1}Q _{2}Q _{3})입력(X)다음상태출력(Z)J _{1}K _{1}J _{2}K ... < 상태할당 >Rule 1Rule 2Rule 3(A,F) ㅡ(E,F) ㅡ(A,B) ㅡ(B,C) ㅡ(C,D) ㅡ(D,E) ㅡ(E,F) ㅡ(A,F) ㅡ-3.3) 축소된 상태도를 바탕으로 ... X3.4) 로직웍스 등등을 이용하여 회로도를 그리시오.< 회로도 >< x=0 일 때 >< x=1 일 때 >< 비교 참고>3.5) 구성한 회로에 대한 모의실험을 수행하고 그 결과를 설계
    리포트 | 6페이지 | 5,000원 | 등록일 2019.02.18
  • [HDL-Verilog] D F/F, 8bit register, 8bit shift register
    실험 목표D Flip FlopD F/F을 이용한 8-bit register, 8-bit shift register를 각각 Verilog를 통해서 설계하고 설계한 Verilog를 ... 결과값▶D-Flip Flop▶8-bit register▶8-bit shift register5. ... [LAB 2, 3, 4] 실습 레포트(D-Flip Flop, 8-bit register, 8-bit shift register)1.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.06.05
  • [컴퓨터공학기초설계및실험2 보고서] Latch & flip-flop design with/without reset/set
    컴퓨터 공학 기초 설계 및 실험2 보고서실험제목: Latch & flip-flop design with/without reset/set제목 및 목적제목Latch & flip-flop ... _dff_rs_asyncAsync Set/Resettable D Flip-Flop설계 검증 및 실험 결과시뮬레이션 결과SR LatchR(RESET)=0, S(SET)=0 => 이전의 ... D Flip-Flop을 한 줄로 늘여놓는 것을, 8-bit register를 구현 esettable D Flip-Flop_dff_rs_syncSync Set/Resettable D
    리포트 | 20페이지 | 1,500원 | 등록일 2015.04.12 | 수정일 2016.03.12
  • 컴퓨터구조 1장 과제
    이 경우에는 (손으로 작성한 경우) 손으로 작성한 답안도 수요일 수업시간에 제출하세요.다음: 1-8, 1-9, 1-15, 1-16, 1-19, 1-20 (use JK flip-flops ... F(A,B,C,D) = ∑(0,2,4,5,6,7,8,10,13,15)CDAB00011110001101111111111011답 : F = BD+B’D’+A’D’[1-15] 다수 함수는 ... = KA = xJB = KB = A’x[1-21] 두 개의 JK플립플롭 A,B와 두 개의 입력 E, x를 갖는 순차 회로를 설계하라.
    리포트 | 7페이지 | 2,000원 | 등록일 2017.11.28
  • 디지탈 컴퓨터 설계
    ~rm S_1 ~=~S_0 ~=~5∨7Clear = H0표 9-8 l-flip flop 모우드 제어표시퀀스번호D-flip flop 동작제어단자CPSDCD7l, A ← A+BL → ... PLA를 씀으로써 변수의 간소화가 필요없고, 설계 및 구성이 간단하므로 필요한 IC수를 줄일 수 있다.그림 9-9는 D-FF과 PLA에 의한 순차 제어회로이고, 표 9-2는 PLA의 ... 무정의항(Don't care항)은 d로 표시했다.표 9-1 기본적인 컴퓨터의 상태표현 재 상 태입 력차 기 상 태플립 플롭X3X2X1X0IR1IR0X3X2X1X0D3D2D1D00000
    리포트 | 21페이지 | 5,000원 | 등록일 2017.12.30
  • 디지털시스템 실험(SR Latch, JK, D FF, Register, Shift Register, Register를 이용한 가산기)
    D Flip-Flop 을 이용하여 Negative-Edge-Triggered JK Flip-Flop설계한다.4. ... SR Latch 를 설계한다.2. SR Latch 를 이용하여 D-Type Positive-Edge-Triggered Flip-Flop설계한다.3. ... D Flip-flop을 이용하여 만든 Negative-Edge-Triggered JK Flip Flop이다.4.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.03
  • FPGA를 이용한 디지털 시스템 설계(인하대) 순차회로 보고서
    D Flip-Flop 코딩두번째로 실험주제는 D Flip-Flop설계하는 것이다.플립플롭이 Latch와 구별되는 점은 클럭신호에 있다. ... (gate신호가 존재하지 않는 D래치의 경우도 동일하다.)D Flip-Flop 설계 코드는 아래와 같이 작성하였다. - D Flip-Flopmodule DFF(Q, Qbar, D, ... 실험과정 및 소스코드이번 실험에서는 Gated D Latch, D Flip-Flop, Synchronous Reset D Flip-Flop, J-K Flip-Flop, 4bit Shift
    리포트 | 19페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    그림에서 알 수 있듯이 Jk Flip-Flop 4개로 구성되어 있으며, 이렇게 내부에 들어있는 JK Flip-Flop을 통해서 74ls48 Decoder 와 7-Segment로 구성되어 ... 시계만 설계를 하므로 , 초단위까지의 Clock신호로만 연결을 한다.1~9초단위의 10진 카운터의 BCD 출력과 Decoder의 BCD(A,B,C,D) 출력을 연결하고7 Segment ... LT핀을 Active low와 연 결하면 7-Segment가 8이 들어오며, 이것은 작동이 정상적으로 된다는 것을 알려준다.디지털시계를 설계 할 때는 Active High와 연결을
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 플립플롭
    (D-flip flop)D형 플립플롭도 RS형 플립플롭과 같이 클록입력이라고 불리는 트리거 신호에 의해 제어됩니다. ... 이론 내용(1) RS 플립플롭(RS-flip flop)래치는 어떤 입력레벨에 의해서 제어되는데 플립플롭은 클록 입력이라고 불리는 트리거 신호에 의해서 제어됩니다. ... 클록펄스가 1일 때 출력상태가 변화되면 입력측에 변화를 일으켜 오동작이 발생되는 현상을 일컫습니다.(4) 마스터 슬레이브 JK 플립플롭(master-slave JK-flip flop
    리포트 | 11페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 디지털실험 설계3 예비 positive edge triggered master-slave D flip flip설계
    D flip flip설계이론(2)D Latch와 D Flip-flop, gate D Latch, D Flip-flop의 구성실험 9에서 이미 경험해 보았던 D latch와 D ... D flip-flop은 RS flip-flop을 기본구조로하여 만들어진다. ... 디지털 실험 예비보고서설계3. positive edge triggered master-slave D flip flip설계실험 목적1.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.30
  • 메트랩을 이용한 업다운 카운터 밀리모델
    과제 수행 내용 및 결과- Mealy 모델은 1개의 입력과, 1개의 출력으로 구성되며 Flip-Flop은 JK Flip-Flop을 사용한다. ... 과제 수행방법- 메모리 소자인 플립플롭을 선정하고 이론적인 회로도를 만들어본다- JK 플립플롭을 이용하여 설계하도록 하였다- 설계 방법은 Design procedures를 사용하여 ... 과제의 정의 및 목표동기식(clock-mode) 4-비트 UP-Down Counter를 Mealy Model로 설계하고,Matlab Simulink Modeling으로 구현하여 Simulation
    리포트 | 10페이지 | 1,000원 | 등록일 2016.11.25
  • 실험 7. Shift Resistor 예비보고서
    실험 목적실험을 통해 시프트 레지스터의 특성과 동작 원리를 이해하고 flip-flop소자를 이용해 구현해보아 원래의 시프트 레지스터와 비교해보는 것.2. ... 이 종류의 시프트 레지스터는 병렬 입력(D0 - D3)로부터 데이터를 취하고 레지스터가 클럭이 입력될 때 일치하는 출력(Q0 - Q3)으로 이동시킨다. ... 공학 기초지식과 전문지식을 활용하여 전자공학의 시스템, 부품, 공정, 방법을 분석하고 설계하는 능력을 기른다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.07
  • 디지털실험 설계3 결과 positive edge triggered master-slave D flip flip설계
    디지털실험 결과보고서설계3. positive edge triggered master-slave D flip flip설계실험 결과설계의 회로이다. ... 즉 rising edge에서만 동작하는 flip flop설계하고 reset, clear 기능을 추가하는 것이었다. ... 예비에서 보았듯이 설계의 중간과정에서 설계D-FF은 클락이 1인 상태에서 입력이 바뀌면 출력도 바뀌어 버린다.
    리포트 | 2페이지 | 1,000원 | 등록일 2014.09.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대