• 통큰쿠폰이벤트-통합
  • 통합검색(346)
  • 리포트(316)
  • 자기소개서(29)
  • 이력서(1)

"VHDL설계실험" 검색결과 141-160 / 346건

  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ9주차. ... HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.음계 주파수 대역, 천안공업대학, 윤덕용.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 순차회로 설계 - 카운터 결과보고서
    순차회로 설계 - 카운터1. 실험 목표- 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다. ... 고찰- 단순 VHDL 코딩 후 시뮬레이션으로 결과를 확인하는 것이 아닌 RoV Lab3000이라는 기기를 이용하여 실제로 결과를 관찰하는 실험을 진행하였다.- 존슨 카운터 설계에서 ... 과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - 카운터담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 22논리회로설계
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ10주차. ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... – Verilog HDL 실습 Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 04-논리회로설계실험-예비보고서
    실험 목표2 bit의 코드를 받아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로를 VHDL을 이용하여 설계할 수 있다.2. ... 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 1논리회로설계 실험 예비보고서 #4실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #4 디코더 엔코더 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ9주차. ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ5주차. ... 방법[실험 1] Half Adder 설계Add SourceSource Code모듈을 지정해주고, 사용할 Input 및 Output을 지정한다. ... [실험 2] 1-bit Full Adder 설계Add SourceSource Code모듈을 지정해주고, 사용할 Input 및 Output을 지정한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Reference (참고문헌)< 초록 (Abstract) >이 실험에서 목적에 맞게 ISE 및 실험 장비를 이용하여 Schematic설계를 해보고 설계한 것을 프로그램을 장비에 연결하여 ... 목적에 맞게 ISE 및 실험 장비를 이용하여 Schematic설계를 해보고 설계한 것을 프로그램을 장비에 연결하여 직접 눈으로 확인해보는 과정을 하였다. ... appears next to the filename*After saving the file, the asterisk disappearsEnter text description - VHDL
    리포트 | 23페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ11주차. ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... 위의 사진과 거의 동일한 형태를 띄므로, 생략한다.따라서 digital watch가 잘 작동함을 확인할 수 있다.ReferenceDatasheet - HBE-Combo II-SE VHDL
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 디지털 논리 실험 보고서 모음 (VHDL, 실험 전/후 보고서 전체, 영어로 작성)
    실험명 Laboratory Exercise 6. VHDL1.
    리포트 | 3페이지 | 5,000원 | 등록일 2019.04.10
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ7주차. ... 실습 Lab#06 Sequential Logic Design, Flip-Flop, Register and SIPO, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.Flip-flop, Wikipedia.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07-Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ8주차. ... HDL 실습 Lab#07 Sequential Logic Design, FSM and Clocked Counter, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.State Machine, Wikipedia.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.09.04
  • 결과보고서 #8
    또한 순차회로 중레지스터에 대해 이해하고 많은 기능들이 있는 범용 레지스터를 VHDL을 이용해 설계해볼 수 있다.2. 실험 결과- 실험 1. ... 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 29논리회로설계 실험 결과보고서 #8실험 8.순차회로 설계1. ... 과 목 : 논리회로설계실험과 제 명 : #8 순차회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4조학
    리포트 | 5페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 서울시립대학교 전자전기컴퓨터설계실험2 제03주 Lab02 Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ3주차. ... 이를 통해 Schematic 설계를 숙달할 수 있었으며, 실험을 통해 Development Tool의 작동 원리와 Programming 흐름에 대해 이해할 수 있었다. ... board,Lab#02 『Xilinx Spartan3』FPGA chip,『ISE』digital design tool, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 기본 게이트 설계 결과보고서
    . 3. 27논리회로설계 실험 결과보고서 #1실험 1. ... 고찰- VHDL이라는 언어를 처음 접해보는 실험이라 준비를 많이 했던 것이 실험 진행에 큰 도움이 된 것 같다. ... 과 목 : 논리회로설계실험과 제 명 : 기본 게이트 설계담당교수 : 국태용 교수님담당조교 : 김태경 조교님학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 반가산기 & 전가산기 결과보고서
    과 목 : 논리회로설계실험과 제 명 : 기본 게이트 설계담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 4. 3논리회로설계 실험 ... 또한 구조적 모델링 기법과 Schematic design 기법을 활용하여 설계한다.2. 실험 결과실험 1. ... 실험 목표간단한 1비트 2진수 합이 가능한 반가산기와 2비트 이상의 2진수 합이 가능한 전가산기의 동작 특성을 이해하고, 이를 설계한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • 2017 상반기 SK하이닉스 솔루션직군 자기소개서
    이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 무엇이 잘못 됐나 발견을 못하고 결국 처음부터 설계를 하기 로 했습니다. 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. ... 공유기 설정은 어떻게 되어있고, IP할당은 어떻게 되어있고, 프린터PC이며 실험PC는 어떻게 관리하는지, 전혀 몰랐습니다.
    자기소개서 | 4페이지 | 6,000원 | 등록일 2017.02.08 | 수정일 2017.09.21
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07_Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ8주차. ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... HDL 실습 Lab#07 Sequential Logic Design, FSM and Clocked Counter, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 05-논리회로설계실험-예비보고서
    실험 목표2 bit의 코드를 받아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로를 VHDL을 이용하여 설계할 수 있다.2. ... 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 8논리회로설계 실험 예비보고서 #5실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 결과보고서 #5
    이것들을 VHDL의 Subprogram 문법인 function과 procedure를 이용해 설계할 수 있고, Xilinx ISE를 통해 실제 동작을 확인한다.2. ... 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 15논리회로설계 실험 결과보고서 #5실험 5.조합회로 설계1. ... 과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4조학
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    논리회로설계 실험 결과보고서 #5실험 5. 조합회로 설계 - 비교기, MUX, ALU1. ... 실험 목표4비트 크기의 이진수 A, B와 2비트 크기의 선택 신호 S를 입력으로 받아 5비트 이진수 Y를 출력하는 산술논리연산장치(ALU)의 동작을 이해하고 설계한다. ... 그 후 process문을 사용하여 동작적 모델링 VHDL 표현 방식으로 코드를 작성하였다. case문을 사용하여 각각의 기능 선택 비트의 경우에 따라 수행하는 연산을 출력 Y값으로
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대