• 통큰쿠폰이벤트-통합
  • 통합검색(566)
  • 리포트(489)
  • 시험자료(45)
  • 방송통신대(22)
  • 자기소개서(6)
  • 논문(4)

"alu 4bit" 검색결과 141-160 / 566건

  • [컴퓨터구조] 2개의 칩을 사용한 8비트 ALU 설계
    목표 : 4비트 ALU 칩인 74181 칩의 사용 방법을 이해하고 그 안에 내장되어 있는 기능을 이해하고 더 나아가 2개의 74181 칩을 사용하여 8비트 ALU를 설계할 수 있다.3 ... 일반적으로 시프트 마이크로 연산은 별도의 장치에서 수행되지만, 가끔은 ALU의 한 부분으로 구현되기도 한다.나. 74181 ALU 회로도이 그림은 하나의 74181 4비트 ALU 회로도이다.A0 ... ~A3는 4비트 입력 A와 B0~B3는 4비트 입력 B가 각각 입력 단자에인가된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2013.12.06
  • arithmetic circuit design(예비)
    : F = (A | (~B)) + A;4'b1111 : F = A - 0001;endcaseendendendmodule③ Allocate 4-bit ALU I/O pin to I/O ... Based on what we've learned before, make ALU(Arithmetic Logic Unit) verilog code capable of 4-bit logic ... If the word leng has no representation in a 4-bit system.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.07.09
  • [논리회로실험] 실험4. ALU&Booth
    Logic Unit) 설계산술연산(덧셈, 뺄셈, 증가, 감소), 논리연산(AND, OR, XOR, NOT), 시프트연산을 수행하는 8비트 ALU를 설계하고 Testbench를 통해 ... 'Booth 알고리즘'을 잘 보여주는 예가 아래 그림이다.그림 SEQ 그림 \* ARABIC 2 Booth 알고리즘의 예위의 예는 4bit끼리의 연산이지만 우리가 실습에서 사용하는 ... B000110A XOR B000111NOT A100000A101000Shift left A110000Shift right A1110000위의 표를 보면 알 수 있듯이 state가 12개라면 4bit만으로
    리포트 | 31페이지 | 2,500원 | 등록일 2014.03.22
  • <컴퓨터 구조 및 설계>4장 프로세서(Data path & Mapping Control) 요약정리
    6비트 기능 필드 값에 따라서 5가지 연산중 하나를 수행명령어 기능 필드와 2비트 제어필드(ALUOp)를 입력으로 갖는 제어 유닛을 만들어서 4비트 ALU 제어 입력을 발생.=> ALUOp값 ... 레지스터와 명령어에 포함되어 있는 16비트 부호있는 변위 필드를 더하여 메모리 주소를 계산한다. => Use ALU, but sign-extend offset그 외에도 명령어의 16비트 ... (레지스터 번호 지정, 레지스터에 쓸 데이터 값)레지스터 번호 입력은 32개의 레지스터 중 하나를 지정해야하니 데이터 입력과 출력 버스 모두 5비트 크기이다.=> R형식 ALU 연산을
    리포트 | 23페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.08.13
  • [컴퓨터구조] "ARM vs MIPS" 레포트
    이 때 명령어를 FETCH하는 회로에서는 0x8010번지의 명령을 FETCH하는 동작도 같이 진행된다.3) EXECUTE : 읽어온 R1 와 R2 값을 이용하여 ALU에서 연산한다.4 ... operation) (6 bits)작업하는 모든 데이터는 단어 (32 비트 블록)로 되어 있습니다. ... ) : 32비트 산술 및 논리 연산을 수행하는 곳으로 레지스터 뱅크로부터 2개의 내부 버스가 연결되어 있고, 연산 결과를 레지스터 뱅크 및 어드레스 레지스터로 저장하기 위한 ALU
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • [Ayeun]컴퓨터구조 계산기 설계 보고서
    #ALUFA의 B입력에 XOR에 달아 감산기 역할도 할 수 있게 만든다.ALU는 4비트 가감산기로서 전가산기를 4개 이용하여 연산을 하게 된다.최하위 비트(맨위)의 Carry in ... 역할은 덧셈과 뺄셈의 역할로 동작 한다.ALU의 각 연산의 결과는 MUX로 가게 된다.여기서 4비트의 계산중 캐리가 발생하면 4병렬구조를 통해 최종단에서 캐리가 ‘1’로 출력된다.이는 ... 4비트중 캐리값의 발생이므로 4비트의 캐리값=2^4=16을 최종결과에서 따로 계산해줘야 함을 의미한다.동작제어신호micro operation가산기IR=04A+B감산기IR=16A>B
    리포트 | 8페이지 | 3,000원 | 등록일 2018.12.21
  • 제어계측공학과 졸업작품『라인트레이서』
    4개의 레지스터 뱅크를 갖고 있다.5) 사용자가 임의로 지정할 수 있는 128비트의 플래그를 갖고 있다.6) 프로그램 메모리 및 외부 데이터메모리를 각각 최대 64K바이트까지 확장할 ... 수 있다.7) 명령실핼 사이클이 기본으로1us(12MHz)이다.8) 4개의 8비트 I/O로서 32개의 양방향I/O를 가지고 있다.9) 다중모드로 사용할 수 있는 고속 직렬I/O포트10 ... 내부레지스터 기능을 살펴보면 다음과 같다.ALU(Arithmetic Logic Unit): 산술 논리 연산의 중앙 장치로 연산 결과는 ACC에 저장됨.ACC(Accumulator):
    논문 | 15페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 제어계측공학과 졸업작품 『블루투스 RC카』
    각 슬롯마다 하나의 패킷이 전송된다. master가 전송하는 경우 3bit의 slaver 주소가 패킷에 포함되며, 선택된 slave가 다음 슬롯에 master에게 패킷을 전송하게 된다 ... 외부 인터럽트 요청 등 여러 별도의 기능을 가진 핀PORT F(PF0~PF7)54~618비트 입력 포트, ADC에 대한 아날로그 입력PORT G(PG0~PG4)18, 19, 33, ... 감도 : 일반 - 80dbm-비트 속도 : EDR, 최대 3Mbps까지-인터페이스 : UART-안테나 : 내장-전압 : 3.1 4.2VDC-현재 : 40mA 최대-크기 : 27 *
    논문 | 24페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • [Ayeun]컴퓨터구조 CPU 설계 보고서
    4bit는 연산비트이다. ... 않는 남은 4Bit의 입력과 4Bit의 출력은 GND로 묶어주게 된다. ... 보내기 때문에 12BIT만 사용하게된다.출력은 CPU가 16BIT이기에 16BIT까지 연결해 주어야 하나 이번 설계는 4BIT가 목적이기에 4BIT를 버스로 연결했다.따라서 쓰이지
    리포트 | 22페이지 | 3,000원 | 등록일 2018.12.21
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    실험 목표4비트 크기의 이진수 A, B와 2비트 크기의 선택 신호 S를 입력으로 받아 5비트 이진수 Y를 출력하는 산술논리연산장치(ALU)의 동작을 이해하고 설계한다. ... 입력 A와 B 그리고 2비트 입력 S를 받아 3가지 기능을 수행하여 출력 Y로 나타내는 ALU를 설계하였다. 4비트 입력 A, B에 대하여 입력 S값이 ‘00’일 경우 A와 B의 ... 실험 결과 4가지 연산을 수행하는 산술논리연산장치(ALU)를 함수, 프로시저를 이용하여 작성하시오.(1) 소스 코드ALU MainPackage(2) 테스트 벤치 코드(3) Wave
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 디지털공학실험 06. 조합논리회로응용 예비
    실험목적반가산기와 전가산기의 원리를 이해한다.반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부상용 ALU의 기능을 이해상용화된 4비트 ALU를 이용하여 두수의 가감산을 ... 16가지의 산술 및 논리연산을 수행할 수 있으며 2개의 4비트 입력과 1개의 4비트 출력을 가지고 있다 또한 4비트 기능선택 입력과 1비트 모드선택 단자가 있으며 각 비트의 조합으로 ... 사칙연산을 비롯하여 여러 가지 산술 및 논리연산 기능을 하나의 MSI내에서 이루어지도록 한 소자이다. 4비트 ALU인 74x181은 24개의 단자를 가지고 있다.74181은 선택적으로
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • VHDL을 이용한 16bit ALU 설계 및 파형
    of ALU iscomponent MUX4to1 --MUX 4x1Port ( sel : in STD_LOGIC_VECTOR (1 downto 0);In_0 : in STD_LOGIC_VECTOR ... ; --ALU 산술연산시 가산기C-out값ALUout : out STD_LOGIC_VECTOR (15 downto 0)); --ALU 출력값end ALU;architecture ALU16bit ... 집적회로실습과제 Report16bit ALU 설계전자정보시스템제출일: 5/31ALU (Arithmetic Logic Unit)산술 논리 장치Mathematician HYPERLINK
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • 어셈블러 구조 및 실행과정 및 용어정리
    기억공간 번지를 지정할 수 있다.4개의 레지스터 모두 4개의 비트를 추가로 가지며 선두 주소를 가진다.ex) 16비트 주소버스 = 20비트32비트 주소버스 = 36비트**실제 주소 ... 아니면 해독결과를 한 사이클 동안 저장.(4)레지스터 사용(Register Write Back)- ALU 연산결과를 레지스터에 기록.- 메모리에서 읽어들인 값을 레지스터에 기록. ... 컴퓨터 연산 단위- 일반적으로 n비트에는 2^n개의 값을 기록할 수 있다.- 비트- 니블(4비트)- 바이트(8비트) : 의미를 주는 기억 단위, 아스키코드- 워드(16비트) : 자료를
    리포트 | 11페이지 | 1,500원 | 등록일 2019.08.05
  • qtSpim을 활용한 mips 시뮬레이션
    확장을 위하여 사용함.3li4syscall 명령을 사용하기 위한 명령어.4move3addi명령 대신 더 간편하게 값을 옮길때 사용.5beq1두 수가 같은지 안같은지의 유무를 비교.6add3ALU ... > PC’j지정한 주소로 점프(이동)한다8Shift 연산(X) x (2^Y) = Zsll비트를 왼쪽으로 Y값만큼 옮긴다. = 2의 Y승만큼 곱한다.9비교X < Y ? ... 다르면 점프한다.11ALU SubtractionX ? Y = Zsub레지스터 두 개를 sub 연산한다.12Memory ReadXlw메모리에 있는 값을 레지스터로 넣는다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.03.26
  • A+ 디지털 시스템 실험 Simple Computer – Data Path <10주차 예비보고서>
    Input : A data(4bit), B data(4bit), {Cin, S2, S1, S0 }(4bit)? ... Output : A Data(4bit), B Data(4bit)- 4개의 Register로 구성된 Register File 모듈을 구현한다. 4개의 Register로 구성되어 있기 ... Input : Clock, Destination Address(2bit), Destination Data(4bit), Write, A Address(2bit), B Address(2bit
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • 컴퓨터구조론 연습문제
    가. 8비트나. 32비트다. 24비트라. 64비트4) 다음 중에서 주기억장치의 특징이 아닌 것은?가. 주로 반도체 기억장치 칩이 사용된다.나. ... ALU를 사용하여 연산을 처리한다.다. 프로그램과 데이터를 내부에 저장한다.라. ... 가. 1개 나. 2개 다.3개 라. 4개13)키보드의 어느 한 키를 눌렀을 때 CPU로 전송되는 정보는 ASCII코드 7비트에 한 비트가 더해져서 8비트가 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.15
  • 산술 논리 시프트장치(ALU)를 이용한 디지털 계산기 설계
    합친 모습--HEX DIVIDER에 위 회로를 추가하여 9BIT의 2진수를 10진수로 표현하였다--4비트의 2진수에서 10이 넘는 수일 경우 1자리 수만 추출하는 회로-3. ... ALU에서 한번 뺄셈을 할 때마다 레지스터에 값이 저장되고 CPR 8BIT에 의해 값이 저장될 때마다 Eq의 값이 변하게 하여 16비트 카운터의 값을 1씩 증가 시킨다. ... -PlusMinus의 회로--2’S CP 8BIT의 회로-7-Segment와 진수변환-7-SEGMENT-2진수 4자리를 입력 받아서 7SEG먼트로 십진수를 표현해 주는 회로이다. 4개의
    리포트 | 20페이지 | 4,000원 | 등록일 2011.05.25
  • 중앙처리장치
    4비트형 이다.②8080 마이크로프로세서 ? 8비트형 이다.③8086/8088 마이크로프로세서 ? ... 32비트형으로 수치보조 프로세서와 캐시시스템을 최초로 내장했으며 4.77mhZ인 8088의 약 50정도의 속도를 지녔다. ... 16비트형 XT로, 4.77MHz/10MHz 1983년에 만들어졌다.④80286 마이크로프로세서 - 16비트형 AT로, 10/16MHz 1984년에 만들어졌다.⑤80386DX 마이크로프로세서
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.26 | 수정일 2019.10.19
  • CPU에 대해(컴퓨터레지스터,산술논리연산장치(ALU),컴퓨터명령어,CISC와RISC)
    CPU 컴퓨터정보과CONTENTS 1 컴퓨터 레지스터 2 산술 논리 연산장치 (ALU) 3 컴퓨터 명령어 4 CISC 와 RISCCPU 란 ? ... 인출하고 해석하여 실행하는 것 제어장치 레지스터 집합 산술 논리 연산장치 그림 6-1) CPU 의 구성요소6.1 컴퓨터 레지스터 R1 MAR 15 0 (a) 레지스터 R1 (b) 비트 ... AC IR 주소 11 0 11 0 15 0 15 0 15 0 0 16 bit common bus 그림 6-30) 단일 누산기 구조의 레지스터6.3 컴퓨터 명령어 Ⅰ 명령어 형식 Ⅱ
    리포트 | 40페이지 | 1,000원 | 등록일 2018.04.17
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2010년 2학기 중간시험 족보
    순차회로와 조합회로의 차이는 무엇이고, 기본 컴퓨터의 ALU는 순차회로인가 조합회로인가.(2점)4. ... 기본 컴퓨터의 ALU의 기능에 대하여 아는 바를 쓰시오.(2점)5. 4가지 플립플롭에 대하여 간략히 설명하시오.(1점)6. 2의 보수를 사용하여 음수를 나타낼 경우에 산술 감산을 하는 ... 반가산기 1개와 전가산기 1개를 이용하여 2비트의 2진 덧셈을 수행하는 덧셈기를 블록도르르 이용하여 구현하시오.(2점)2.
    시험자료 | 2페이지 | 10,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대