• 통큰쿠폰이벤트-통합
  • 통합검색(194)
  • 리포트(186)
  • 시험자료(3)
  • 논문(2)
  • 방송통신대(2)
  • 자기소개서(1)

"smpu" 검색결과 141-160 / 194건

  • [다국적기업론] 다국적 기업론
    특히 인텔사 제품 MPU는 세계 PC 제품의 MPU 시장의 80%를 차지하여, 2위 모토로라 제품 MPU는 약 13%이다. 인텔사는 세계 14개소에 제조시설을 가지고 있다. ... 주위의 미술대학원생에게 부탁해서 단돈 35달러를 주고 V(자를 부드럽게 뉘어 놓은 것 같은 스우시(swoosh:휙) 마크를 만들었다. ... 기술이전은 있다.3저기술 파트너(Developering sources)는 무엇보다도 저임금의 장점을 지니고 있으며, 오직 nike 제품만을 생산하고 있어 nike가 상위 파트너로의
    리포트 | 10페이지 | 1,000원 | 등록일 2001.11.20
  • [반도체] 반도체산업
    cell, ASIC.논리연산, 기억, 전송, 변환 등.전자계산기, 전화교환기, computer,FA기기 등MOSmicro IC.MPU, MCU.논리연산 기능, 저장기능, 제어기능, ... , 일본(15%)EU(4%), 한국(0.5%)미국(52%), 일본(38%)EU(9%), 한국(1.6%)일본(38%), 미국(37%)한국(2.2%)기 업('98)Intel(45%)(MPU ... Motorola(8%), NEC(4%)NEC(9%), 루슨트(7%)IBM(7%), LSI(7%)analog : TI(11%)개별소자 : Toshiba(9%)광소자 : Sharp(13%)MPU
    리포트 | 11페이지 | 1,000원 | 등록일 2001.11.29
  • 삼성전자 반도체 사업부
    ROM/EPROM/EEPROM/FLASH MEMORY : 제조 시 프로그래밍이 아닌 사용자 프로그래밍비 메모리 반도체micro component : MPU(컴퓨터CPU)/MCU(전자제품 ... Austin in texas, San jose)독일(프랑크푸르트) 세계 각지의 법인 보유 최근 12인치 웨이퍼 가공공장 한국 화성에 건설생산시설유통 / 판매메모리 반도체 판매 초기 spot시장
    리포트 | 30페이지 | 3,500원 | 등록일 2007.05.31
  • [경영사례분석] 삼성반도체산업
    (35,351)Microcomponent (57,024)Logic (28,578)DRAM (23,104)SRAM (4,462)Nonvolatile (7,063)Other (723)MPU ... 개발 ㆍI90㎚기술 적용, 세계 最小 사이즈의 셀 구현 ㆍ기존 KrF공정을 이용한 집적도 향상으로 가격경쟁력 확보 ㆍ초고속 S램 시장에서도 마켓 리더쉽 지속 유지2Gbit NAND ... 대비 2배 이상 빠른 533/667Mbps 제품 ㆍ04년 1Gbit 제품도 양산, DDR2 시장 주도72Mbit DDR3 SRAM ㆍ세계 최고속, 최대용량의 72Mbit DDR3 S램
    리포트 | 20페이지 | 1,000원 | 등록일 2004.11.18
  • [SoC] SoC (system on chip
    결론SoC 기술은 MPU, DSP, 메모리, 베이스밴드 칩, 임베디드 소프트웨어 등 하나의 시스템을 집적해 놓은 IC 기술로서 정보 및 통신기기의 발전양상이 더욱 복잡, 다양화되는 ... 하청기업을 거느리고 있으며 관련 원재료 및 장비관련 기업까지 통제할 수 있는 협상력을 가지고 있다.그러나, 특별한 자체 제품 생산 없이 반도체를 수탁 생산해주는 파운드리가 생겨나고 S/ ... 반도체 분야에 있어서는 세계 최고의 제조 경쟁력을 보유하고 있으며 신기술 제품에 대한 빠른 적응을 보이고 있고 신규 시장창출이 기대되지만 여전히 기초기술 및 원천특허와 돈이 되는 star
    리포트 | 6페이지 | 1,000원 | 등록일 2005.09.06
  • [CPU 역사] 마이크로프로세서 발전과정
    이후 MPU는 4004를 시작으로 개발이 진행되어 8008,8080,Z80등의 MPU가 개발되었다. ... /s6.25Mbyte/s3.12Mbyte/s12.5Mbyte/s50Mbyte/s16Mbyte/sRegister to Register(Min)Add Time(㎲/data word)0.30.380.20.30.1250.1250.125Interrupt ... 중앙 처리 장치라고 하는데, 사전적 의미로는 컴퓨터의 제어와 데이터의 처리를 하는 장치를 말하고, 기능상으로는 컴퓨터에서 두뇌 역할을 하는 장치이다.마이크로 프로세서를 다른 말로는 MPU
    리포트 | 10페이지 | 1,000원 | 등록일 2002.04.24
  • [컴퓨터 구조론] 컴퓨터 구조론
    정수(0- 15)로서 TRAP 명령은 총 16 가지의 소프트웨어 인터럽트를 발생시킬 수 있다.- 인터럽트에서는 벡터번호가 외부장치에 의해 제공되지만 TRAP 명령에서는 벡터 번호가 MPU ... 어셈블러프로그래밍을 용이하게 하기 위한 다양한 명령어들(string manipulation operations, special looping constructs, and 32bit ... Register의 사용으로 Register address logic이 추가.상태코드가 다양하여 회로에 반영.- DOF stage : CC MMX A- EX stage : L bit
    리포트 | 38페이지 | 1,000원 | 등록일 2005.05.27
  • [경영전략]스피드경영
    스피드 경영 사례 - GEGE는 3S (Self-Confidence, Simplicity, Speed)를 전조직에 확산함으로써 스피드경영 기반을 구축함추진 방향- 90년대 유럽, 한국 ... 개발 방식 개발1팀 개발2팀Model 1Model 2Model 3Model 4Model 5Model 6Model 7Model 8주요 성과- MPU 시장의 80% 이상을 점유하여 사실상
    리포트 | 21페이지 | 2,000원 | 등록일 2006.07.19 | 수정일 2016.05.03
  • 8251A
    bit) - 문자의 시작부분에 있는 동기비트3 정지비트(stop bit) - 문자의 끝 부분에 있는 동기비트4 데이터 비트들은 시작비트와 정지비트 사이에 들어 있다5 통신기법에 따라 ... UART의 데이터 터미널 준비 DTR 출력을 유효하게 하여 터미널에게 이 사실을 알림ㄹ 이 신호는 터미널 UART의 송신준비 완료(CTS : Clear to send)입력에 인가되어ㅁ ... 계속적으로 동기를 유지하기 위해서는 실제 데이터가 전송되기 전에 동기유지를 위한 특별한 문자가 하나수신단에서 각 문자의 시작과 끝에 포함되어있는 동기비트를 검사하여 동기수행2 시작비트(start
    리포트 | 9페이지 | 1,000원 | 등록일 2000.09.19
  • [간호]혈흉 CASE STUDY (Hemothorax)
    101FL8991.39091.191.7MCH27~33pg31.131.13131.230.7MCHC32~36g/dL34.93434.434.333.5PTL130~400x103/mm³197261321373427높아진 의미: 외상MPU7 ... ☞일상활동장애현재 상태로는 L2 spine Fx으로 인해 일어날 수 없으며 자발적인 호흡이 약하기 때문 에 크게 움직일 수 없다. ... 174cm/73kg입원일/사정일: 2006년 7월 12일/ 2006년 7월 20일~2006년 7월 24일주호소: 호흡곤란과 허리 통증 및 어깨 통증진단명: Hemothorax/ L2 spine
    리포트 | 9페이지 | 1,000원 | 등록일 2006.09.23
  • [컴퓨터] 부팅과정
    부팅 파일을 메모리에 적재한 후에는 command.com과 config.sys, autoexec.bat 파일을 불러 그 안의 명령대로 관련 드라이버를 메모리에 로딩한다. ... 이는 PC내부의 MPU에서 발생하는 열량을 PC 외부로 강제로 배출시키기 위한 장치로 PC내부에서 발생되는 열을 공냉식 장치에 의해 PC외부로 강제 배출하는 기능을 한다.냉각 팬이
    리포트 | 2페이지 | 1,000원 | 등록일 2002.04.28
  • [마이크로프로세서]AVR의 이해와 개발 환경 설정
    AVR의 특징AVR 은 Alf(Bogen) Vergard(Wollen) Risc 의 약자로서 ATMEL사에서 제작된 RISC 구조의 MPU 이다.1MHz당 1MIPS의 성능을 가진다.ADC ... 적어도 HYPERLINK "http://sourceware.cygnus.com" \t "_blank" Cygnus 사에서 크포스 플랫폼 지원을 하기 전에는 말이다. ... : 8,200 rpmNo-load current (with shaft ø 0.08 in) : 0.029 AStall torque : 3.00 oz-inFriction torque
    리포트 | 7페이지 | 1,000원 | 등록일 2005.03.18
  • [Telematics] MOZEN 서비스와 관련기술[Telematics, GPS, GIS, LBS]
    MOZEN 특장점Ⅲ.Telematics○ Telematics의 개요○Telematics 지원기술○Hard/Soft ware/주변장치■MPU (micro processing unit) ... ; 초소형 연산 처리 장치■VUI (voice user interface) ; 음성인식■ASR (automatic speech recognition)■TTS (text to speech ... G I S○GIS의 개요지리 정보 시스템(GIS, Geographic Information System)은 지리공간 데이터를 분석?가공하여 교통?
    리포트 | 14페이지 | 3,000원 | 등록일 2005.06.21
  • [프로세서] 마이크로프로세서 1
    데이터 처리를 위한 산술 논리 연산과 제어 능력을 가진 집적회로(IC)MPU (MicroProcessing Unit) 또는 CPU(Central Processing Unit) 라고도 ... H/W 및 S/W debugging7. H/W에 S/W 이식 및 최종 시스템 동작 검증 ... 초기 시스템 설계- 시스템 사양 중 H/W와 S/W로 구현할 부분을 각각 설정(Trade-Offs)3. 기본 H/W 및 S/W 디자인4.
    리포트 | 13페이지 | 1,000원 | 등록일 2002.09.28
  • [전자공학실험] 8051실험 - 타이머인터럽트를 사용한 7-SEG 제어
    interrupt enable */EA = 1; /* Enable all interrupt */이런 여러 가지 세팅하는 법을 배워서 앞으로 다른 것을 할수 있게 가능하게 하였다 .mpu에서 ... -seg 0으로 리셋 */segdata[2]++; /* 3번째 7-seg 1증가시킴 */}if( segdata[2] == 16){ /* 3번째 7-seg 가 F가될때의 조건문*/segdata ... 가 F가될때의 조건문*/segdata[1] = 0; /* 2번째 7-seg 0으로 리셋 */segdata[0]++; /* 1번째 7-seg 1증가시킴 */}if( segdata[0
    리포트 | 8페이지 | 1,000원 | 등록일 2003.12.04
  • [컴퓨터] 펜티엄 프로세서의 내부구조
    파이프라인Pipe line 기술은 파이프 라인이라는 장치를 이용하여 명령을 대상의 명령어를 실행 할 수 있는 기술이다.Write Through 방식과 Write Back 방식의 차이S램을 ... 동시에 이 명령어들이 가야할 주소를 컴퓨터가 이해할 수 있는 주소로 지정하는 일을 페이징장치와 세그먼트 장치가 한다.인텔사의 CPU* 최초의 4비트 MPU 4004인텔은 1971년 ... 세계 최초로 4004라는 마이크로프로세서를 생산한 회사다.당시 생산한 이 CPU는 4비는 CPU로 전자계산기에 많이 사용했다.* 최초의 8비트 MPU 8008에서 16비트 CPU인
    리포트 | 7페이지 | 1,000원 | 등록일 2003.06.08
  • [자동차] 엔진가시화
    이는 빠른 속도의 MPU를 사용하여 개선할 수 있다. ... [θ/s] (8)즉, 크랭크축의 회전에 대한 펄스의 상태변화를 검출하여 크랭크 각을 측정한다. ... 연장실린더는 마모와 변형의 방지 및 강도 유지를 위하여 S45C를 사용하여 보링 가공한 후 경화 열처리하였다.3)연소현상의 가시화와 함께 전기 점화시 압력의 측정이 가능하도록 실린더
    리포트 | 8페이지 | 1,000원 | 등록일 2004.02.24
  • 마이크로 프로세서 비교
    마이크로프로세서의 별칭인 MPU는 이것또한 역시 마이크로프로세서로 지칭된다. ... (1)마이크로프로세서마이크로프로세서는 단순히 개발된 것이 아니라 1970년대 초반에 함께 나타난 고체(solid-state)기술의 발전이 컴퓨터 기술의 진보와 결합에서 나타났다. ... 형태중 하나로 컴퓨터의 중앙처리장치를 단일의 IC에 집적한 반도체 소자(Semiconductor Device)로서 1971년 인텔(Intel)에 의해 세계최초로 개발되었으며 다르게 MPU
    리포트 | 9페이지 | 1,000원 | 등록일 2002.06.14
  • [컴퓨터] cpu의 역사
    ★ CPU의 역사{년제조사이름내용1959Fairchild처음으로 IC 개발1971Intel4004최초의 마이크로프로세서 MPU(Micro Processor Unot)는 소형연산 장치라는 ... 펜티엄 MMX는 데스크톱용으로 200MHz까지 출시되었으며, 노트북용으로는 300MHz까지 개발되었다.1997IntelPentium Ⅱ펜티엄Ⅱ는 기존의 CPU와는 달리 핀이 없으며, S.E.C
    리포트 | 3페이지 | 1,000원 | 등록일 2005.08.15
  • [전자회로] ARM7 에대해서
    ARM의 정의CPU의 일종으로(MPU라고 하죠 마이크로 프로세서) 우리가 사용하는 일반적인 PC에는 사용을 하지는 않는다. ... Ex1) MVNr0,#0; r0 := -1: 사실 MVN의 용도로 위의 경우 밖에는 사용된걸 보지 못했다. 만약 MOV r0,#0xFFFFFFFF 이렇게 하면 에러가 발생한다. ... 이런 경우엔, 수동으로 sp(r13)를 이용하여 스택에 r14 값을 보관해 두어야 한다.
    리포트 | 31페이지 | 1,000원 | 등록일 2004.10.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대