• 통큰쿠폰이벤트-통합
  • 통합검색(194)
  • 리포트(150)
  • 시험자료(28)
  • 자기소개서(10)
  • 논문(3)
  • 방송통신대(2)
  • 서식(1)

"uml모델링" 검색결과 141-160 / 194건

  • UML 다이어그램(골프)
    느낀점 및 문제점: 우리 UML 과제로 골프로 정했는데 각자 조사한 것을 이야기 해볼까?: 그래! 일단 골프(golf)란 무엇인지 알아야 하지 않겠어? ... 자료수집을 통한 CLASS 모델링? DIAGRAM 작성? CLASS DIAGRAM 작성? USE_CASE DIAGRAM 작성? STATE DIAGRAM 작성?
    리포트 | 22페이지 | 2,500원 | 등록일 2009.12.25
  • [컴퓨터 공학] UML 기법
    UML(Unified Modeling Language) 통합된 모델링 언어 사용하는 형식과 각각의 표기에 의미를 가진 언어 UML 목적 정해진 기호와 다이어그램을 통해 개발 시스템에 ... : public, - : private, # : protected 공통 분할 객체 지향 모델링은 몇 가지로 나누어 표현 가능 Class와 Object의 분할 Interface와 구현의 ... Prototype 사례의 시각에서 도해 유스 케이스 다이어그램 Use Case : 사용자 입장에서 본 시스템의 행동 Use Case, Actor 간의 관계를 표현 시스템 행동을 조직화하고 모델링상태
    리포트 | 17페이지 | 1,000원 | 등록일 2004.07.19
  • [UML] UML 정리
    예를 들어, UML의 저자들은 분산 병렬 시스템의 모델링을 목표로 삼았다.셋째로, UML은 표준 공정이 아니라 표준 모델링 언어에 초점을 맞추었다. ... UML의 저자들은 사용사례 중심, 아키텍처 중심, 점진 반복적인 개발 공정을 권장한다.UML은 객체지향 공동체의 일치된 의견을 핵심 모델링 개념에 통합한 모델링 언어이다. ... UML은 복잡한 대형 시스템을 모델링하는데 성공적으로 증명된 공학적 기법들을 모아 제시한 것이다.UML은 80년대 후반에서 90년대에 이르는 기간 동안 나타난 객체지향 분석 설계 방법론의
    리포트 | 10페이지 | 2,000원 | 등록일 2003.07.28
  • 소프트웨어 개발 방법론
    구조적 방법론의 프로세스 모델링과 정보공학의 데이타 모델링을 동시에 사용하고 있다. ... 객체지향을 지원하고 있는 기법으로는 Class Diagram, Use Case Diagram 외에 6개정도의 UML표기법에 의한 다이어그램이 있다. ... 객체지향 방법론을 발전시켜온 삼인방(Booch, Rumbaugh, Jacobson)에 의해 90년대 중반에 표기법을 통일되었는데, 최근에 객체지향 하면 떠오르는 것이 UML(Unified
    리포트 | 2페이지 | 1,000원 | 등록일 2005.03.21
  • 항공물류SCM을 위한 웹기반 포워더 정보시스템개발
    UML을 통한 비즈니스 프로세스 설계- Use Case Diagram을 통해서 사용자 기능 설계 - Activity Diagram을 통해서 주요 업무 흐름을 설계4. ... (2003) - 해상화물 포워더를 위한 웹 기반의 물류정보시스템의 개발 - 해상물류에 대한 포워딩정보시스템의 모델링에 대한 연구4. ... 공급사슬관리 개념의 적용을 통한 물류국제경쟁력 강화방안 연구(1998)해상물류 및 해상화물 포워더를 위한 정보시스템 연구 - 복합운송주선업을 위한 Web기반의 해운 포워더정보시스템 모델링
    리포트 | 15페이지 | 1,000원 | 등록일 2008.10.23
  • UML을 이용한 객체지향 분석과 설계
    UML을 이용한 객체지향 분석과 설계UML요구 분석, 시스템 설계, 시스템 구현 등 등 일련의 과정에서 사용되는 모델링 언어로 이 세 단계 과정에서 발생하는 개발자간의 의사 소통의 ... UML(Unified Modeling Language) 분석 및 설계1. UML(Unified Modeling Language)2. 분석의 예 - 도서관 관리 시스템3. ... 따라서 개발자간의 의사 소통이 쉬워지며 생략되거나 불일치되는 모델링 구조에 대한 지적도 용이하다.
    리포트 | 6페이지 | 1,000원 | 등록일 2005.03.21
  • [객체지향] 객체지향기초개념
    객체지향의 특징 객체지향 기본 개념 객체지향 프로세스 UML 설계와 구현의 매핑객체지향 기초모델링 과정(modeling process)과 모델링 언어(modeling language ... )를 제안 모델링 과정 : 객체지향으로 분석하고 설계하는 프로세스 모델링 언어 : 설계를 표현할 때 사용하는 그래픽 심볼 UML탄생 OMT(Object Modeling Technique와 ... 잘 설계된 객체의 집합은 재사용과 변경이 용이 의사교환이 효과적인 비주얼 모델링 모델링 과정에 사용자와 개발자 사이에 공통으로 이해할 수 있는 용어와 개념 객체모델을 이해하기 위하여
    리포트 | 51페이지 | 1,000원 | 등록일 2003.12.17
  • UML
    구성 요소는 콤퍼넌트, 인터페이스가 있다UML의 범위 바깥프로그래밍 언어UML모델링 언어로서 프로그래밍 언어는 아니다. ... 우리가 살아가는데 있어 공통적인 약속인 언어(한국어, 중국어, 영어···)가 있듯이 모델링 하는데 있어 공통적인 약속이 필요한데 그것이 바로 UML인 것이다.모델링의 중요성강력한 소프트웨어 ... UML은 복잡한 대규모 시스템모델링에서 성공을 거둔 소프트웨어 공학의Best of best만을 모은 것이다.
    리포트 | 21페이지 | 1,000원 | 등록일 2003.05.05
  • [UML] UML의 객체지향
    UML( Unified Modeling Language)UML이란 소프트웨어 개발 과정에서 산출되는 산출물들을 명시, 개발, 문서화하기 위한 모델링 언어이다.UML모델링 언어일 ... 개발 공정에 종속되지 않아야 한다.모델링 언어를 이해하기 위한 공식적 기준을 제공한다.객체지향 도구 시장의 성장을 장려해야 한다.고수준의 개발 개념들, 예를 들어 협동, 프레임웍, ... 모델링 언어는 다음과 같은 목표 아래 만들어졌다.사용자들이 사용하기 쉽고 표현이 풍부한 시각적 모형화 언어를 제공한다핵심 개념을 확장하기 위한 메커니즘을 제공한다특정 프로그래밍 언어나
    리포트 | 48페이지 | 2,500원 | 등록일 2003.12.22
  • [컴퓨터] 비주얼 스튜디오 2005
    인프라스트럭쳐 모델링배포 모델링다이나믹 코드 애널라이저정적 코드 애널라이저코드 프로파일러로드 테스팅매뉴얼 테스팅테스트 케이스 관리유닛 테스팅코드 커버리지클래스 모델링비지오 UML ... 정의 계획한 배포에 맞는 특정한 설정을 구성할 수 있는 새로운 시스템을 명시적으로 만듬하위 시스템 맵퍼클래스 디자이너비주얼 베이직 닷넷 및 C#의 모든 언어 기능을 반영할 수 있는 UML과 ... 모델링팀 파운데이션 클라이언트비주얼 스튜디오 프로페셔널Visual Studio Team Foundation변환 관리 작업리포팅통합 서비스아이템 추적프로젝트 사이트프로젝트 관리Team
    리포트 | 17페이지 | 1,000원 | 등록일 2005.07.13
  • UML
    방법론의 전쟁을 끝내고 통합된 모델링 방법을 만들었는데 이것이 바로 UML이다UML은 의미 그대로 '통합된 모델링 언어'라는 뜻이다. ... 모델링 언어이다. ... UML은 95년 10월 초안(v0.8)이 발표되었으며, 97년 8월 v1.0이 OMG(Object Management Group)에서 객체지향 모델링 언어의 산업 표준으로 승인되어
    리포트 | 2페이지 | 1,000원 | 등록일 2003.06.05
  • Semantic Web 에서의 Ontology
    현재 온톨로지 생성은 수작업으로써 사용자가 온톨로지 편집기나 모델링 도구를 사용하여 작성을 하고 있다. ... 현재 시맨틱 웹에서는 DAML, 트리 모델이나, 소프트웨어 공학의 UML과 같은 방식의 그래픽 방법을 제공해야 하며, 개념화를 자동화된 방법으로 수행하기 위해서 구분 규칙을 제공하여
    리포트 | 6페이지 | 1,500원 | 등록일 2009.12.05
  • 소프트웨어공학
    요구사항 분석 및 설계기법- 기능 모델링(Functional Modeling)- 동적 모델링(Dynamic Modeling)- 정보 모델링(Information Modeling)- ... UML- UML이란- USE CASE 다이어그램- 클래스 다이어그램- 플로우 다이어그램6. 소프트웨어 생산성 향상 방법- 재사용성- 재공학- 역공학- CALS- 벤치마킹7. ... 객체지향- 객체지향의 특징- 객체지향 기본 개념- 객체지향 프로세스- UML- 설계와 구현의 매핑- 디자인 패턴의 유형5.
    리포트 | 27페이지 | 1,000원 | 등록일 2005.09.07
  • [시스템 분석]시스템 분석과 설계(summary와 assignment) Chapter 5
    UML - Use Case Diagrams : 시스템에서 제공한 기능 단위를 설명함 (목적 : 다른 사용케이스들간 관계뿐만 아니라 주요 프로세스에 대한 'actor'들과의 관계 를 ... 설명을 포함하고 다른 객체들간의 호출관계를 2차원으로 보여줌 - State transition Diagrams : 클래스가 개입된 다양한 상태를 모델링하고, 그 클래스가 상태간 어떻게
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.18
  • [MDA(Model Driven Architecture)] MDA(Model Driven Architecture)
    실제적으로는, 단순하고 평범한 모델링 툴이다. 몇 가지 MDA 툴이라 불리는 제품은 대응되는 애플리케이션의 소스 코드와 거의 유사한 상세를 가진 모델을 지원한다. ... 특정 제품은 실시간 시스템(임베디드)의 생성을 위해 특화된 실행-가능 UML에 의존한다. ... 이것은 모델 내에 동적인 오퍼레이션을 정의하는 ASL(Action Semantics Language)를 가진 기본적인 UML이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2005.07.22
  • [컴퓨터개론] 객체지향 소프트웨어 공학 및 개발 방법론
    객체지향 분석/설계 표준 경쟁 : UML과 OML1) UML : UML(Unified Modeling Language)은 기존의 Booch 방법론, Rumbaugh 등의 OMT(Object ... Modeling Technique), 그리고, Jacobson의 OOSE 방법론 등을 연합하여 만든 모델링 개념의 공통집합으로, 객체 지향적 분석/설계 방법론의 표준 지정을 목표 ... 엄밀히 말하면 UML은 그 자체로는 분석 및 설계의 과정을 포함하지 않으므로 방법론이라고 할 수는 없다.
    리포트 | 3페이지 | 1,000원 | 등록일 2004.12.06
  • [IT]비즈니스 기술과 표준에 관한 연구방향
    UMM은 객체지향 소프트웨어 개발절차 방법론인 RUP(Rational Unified Process)와 객체지향 모델링언어 UML(Unified Modeling Language)을 적용 ... 비즈니스모델링, 요구파악,분석, 설계,구현 등의 일반적인 모델링 단계를 제시프로세스 모델링 방법론의 연구방향비즈니스 프로세스 모델링의 절차적인 측면에서 UMM이 제시하는 방법론은 ... 모든 업무영역에 대한 통합화와 시스템화를 고려할때 기업내부 프로세스와 기업간 프로세스에 대한 상이한 모델링 방법론은 문제가 발생:UMM과 다른 모델링 방법론을 이용하여 표현된 모델들
    리포트 | 42페이지 | 1,500원 | 등록일 2006.06.27
  • [객체지향] 객체지향 소프트웨어공학 및 개발방법론의 개론
    객체지향 분석/설계 표준 경쟁 : UML과 OML1) UML : UML(Unified Modeling Language)은 기존의 Booch 방법론, Rumbaugh 등의 OMT(Object ... Modeling Technique), 그리고, Jacobson의 OOSE 방법론 등을 연합하여 만든 모델링 개념의 공통 집합으로, 객체지향적 분석/설계 방법론의 표준 지정을 목표 ... 엄밀히 말하면 UML은 그 자체로는 분석 및 설계의 과정을 포함하지 않으므로 방법론이라고 할 수는 없다.
    리포트 | 3페이지 | 1,000원 | 등록일 2004.09.16
  • 온톨로지에 대하여
    이를 위해서 는 WordNet, UMLS와 같이 관련 학문에 대한 이론 습득과 더불어 자연언어처리 기법의 활 용을 통한 언어 습득 및 이해 처리 등과 같은 부수적인 연구가 뒤따라야 ... 더욱이 지식베이스를 디자인함으로써 얻을 수 있는 즉각적인 혜택은 필요로 하는 지식에 대해 설명을 하고, 그에 맞추어 지식을 구성하여 구조에 맞추는 과정을 통한 처리과정 자체를 모델링 ... 온톨로지를 이용한 지식 모델링이 가져다주는 기술적인 장점은 지식베이스의 일관성을 자동화하여 검사한다는 것, 특정하게 명시되지 않은 데이타를 유추할 수 있는 추론 메 커니즘 사용 가능성
    리포트 | 13페이지 | 1,500원 | 등록일 2007.09.15
  • [web기반 데이타베이스] 확장된 ER모델과 사업규칙
    그러나, 앞으로 보겠지만, 객체는 자료와 행위 이 두 가지 모두를 캡슐화 하는데 이것의 의미는 우리가 객체지향 모델을 데이터 모델링에만 사용할 뿐 아니라 프로세스 모델링에도 사용할 ... 장점UML클래스 다이어그램을 이용하여 실세계 응용의 모델UML객체 다이어그램을 이용하여 특정시간에 시스템 상태를 상세히 기술한 스냅샷 제공일반화, 결합, 그리고 복합관계의 사용시간에 ... UML에서 클래스는 사각형이 세 개의 수평선으로 분리된 모양으로 나타낸다.
    리포트 | 34페이지 | 1,000원 | 등록일 2002.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대