• 통큰쿠폰이벤트-통합
  • 통합검색(539)
  • 리포트(468)
  • 자기소개서(60)
  • 시험자료(8)
  • 논문(1)
  • 이력서(1)
  • ppt테마(1)

"디지털논리회로실습" 검색결과 161-180 / 539건

  • Mux&Decoder2차레포트 디지털회로설계
    REPORT기본로직 설계 및 시뮬레이션 검증제출일2020전 공전자공학과 목디지털회로설계학 번.담당교수.이 름.제 1장 서론1-1 1차 레포트의 필요성 및 목적1-2 오늘 실습내용의 ... 제어가 가능하다.MUX(Multiplexer)멀티플렉서는 MUX, MPX라고 줄여 부르기도 하며여러 개의 입력 중 하나를 선택해 출력으로 내보내는 논리 회로를 말한다.DEMUX(DeMultiplexer ... DE2작동 사진은 역시 없다.제 3장 요약 및 결론이번에 처음으로 스위치 제어를 통해 무언가 결과가 나타나는 5가지 실습을 진행하였고 보드에 적용 시켜보았다.실습을하다 schematic
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 이화여대 전기전자공학부(전전) 추가합격 자기소개서
    학업에 기울인 노력과 학습 경험을 통해, 배우고 느낀 점을 기술하시오. (1,000자 이내)디지털 공학 과목에서 논리게이트의 기능과 회로 구성 방법 대해 공부하고 직접 결과 파형을 ... 우선 디지털 회로와 시스템의 설계 구성에 기초가 되는 ’회로이론‘과 ’디지털 공학‘을 수강할 것입니다. ... 이론에 관한 지식을 기반으로 IoT 기술과 결합한 디지털 회로 설계 프로젝트를 진행하고 싶습니다.
    자기소개서 | 4페이지 | 7,000원 | 등록일 2022.12.29
  • 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    논리 회로이다. ... .(3) Lab 3- Breadboard에 아래와 같이 XOR게이트 실습 회로를 구현한다.(4) Lab 4- Breadboard에 아래와 같이 반가산기 실습 회로를 구현한다.(5) ... 수행 과제(1) Lab 1- Dip 스위치와 LED를 이용하여 Breadboard에 아래의 회로를 구현(2) Lab 2- Breadboard에 아래와 같이 OR게이트 실습 회로를 구현한다
    리포트 | 14페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [계측공학 및 실습]데이터 수집 및 처리(DAQ 시스템)_예비보고서
    주파수 특성을 분석하는데 좋은 신호제공기가 된다.디지털회로에서 입력파형으로 요구되는 TTL 및 CMOS 논리 신호도 제공되므로 디지털회로 분석에도 용이하다.[ 함수발생기 사용법 ] ... REPORT제 목 : 데이터 수집 및 처리예비보고서과 목 명 : 계측공학 및 실습담당교수 : 조 O O 교수님제 출 일 : 2014년 03월 19일인하대학교 공과대학항공우주공학과00000000 ... ADC(Analog to Digital Convertor)칩을 이용하며, PCI, PCIe, PXI, PXIe, PCMCIA, USB,Firewire 등의 다양한 Bus 타입의 DAQ하드웨어들이
    리포트 | 8페이지 | 1,500원 | 등록일 2023.02.10
  • 전기및디지털회로실험 실험6 결과보고서
    또한 불필요하게 복잡한 논리함수를 단순화시키는 방법으로 카르노맵을 응용하는 방법을 익히고 돈케어 조건을 다루는 예를 실습한다.조합논리회로 설계의 실례로 덧셈기(가산기)의 회로를 구현해 ... 전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서13실험 고찰14실험명실험 6. 논리조합회로의 설계2. ... 해당 문항의 회로 상에서 스위치가 모두 개방된 상태인 입력신호가 000일 때는 논리회로의 진리표 출력값이 0이고, 또한 스위치가 개방된 상태이기에 논리회로로 전류 자체가 흐르지 않을
    리포트 | 15페이지 | 2,500원 | 등록일 2024.03.12
  • 시립대 전전설2 A+ 3주차 예비레포트
    실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Behavioral modeling 방법론을 Data flow modeling과 ... =’는 논리 부등을 기능하는 연산자로 두 피연산자가 다르면 ‘참(1)’을 반환하고 같으면 ‘거짓(0)’을 반환하게 된다. 3 ! ... 유지 -> always 구문 안에서 사용하드웨어 레지스터, 플립플롭, 래치 등을 모델링하기 위해 사용될 수 있다.reg를 사용하여도 합성의 결과에 따라서 combinational 회로
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    차이점은, 실험에서 한 BCD디코더는 입력을 직접 주었지만 시계는 플립플롭이 추가로 들어가있어서 내부clock에 따라 입력값이 변한다는 것이다.이번 실험을 통해 디지털공학과 논리회로설계에서 ... BCD디코더를 활용한 예로는 디지털 시계가 있다. 평소에 자주보는 디지털 시계가 BCD디코더로 이루어져 있다. ... 학습한 내용들(디코더, BCD, 플립플롭 등)을 상기하는 시간을 가졌고 이론과 실습을 접목시킨 점에서 의미가 깊다.고찰문제아래의 [그림 10 – 1]74138 decoder와 [그림
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    PROM은 AND Gate의 제약으로 논리소자로는 잘 쓰이지 않는 반면 PAL은 구조가 생산 속도와 비용 측면에서 유리하여 현재 널리 쓰이고 있다.과거 작은 크기의 회로를 구현할 때 ... 실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    예를 들면 S=0일 때는 I0이 통과, S=1 이면 I1이 통과하는 방식으로 동작하게 된다.출력 Z를 식으로 표현하면 Z=이다.이를 논리 회로로 나타내면오른쪽 회로로 표현할 수 있다 ... 조합 회로이다. ... 시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전가산기(4-bit adder) 예비
    아날로그 및 디지털회로 설계 실습11주차 예비: 2-bit Adder 회로 설계전자전기공학부20160000 하대동고릴라1. ... (D) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다.식을 xor에 맞게 묶었다.S= bar{A} ` bar{B} `C _{i`n} + bar{A} `B ... (E) 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다.앞의 전가산기의 carry out은 뒤 전가산기의 carry in이 된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.12.23
  • LG전자 VS본부 HW설계 합격 자기소개서
    집적회로에 대한 design, 성능 예측 방법, Verilog를 이용한 디지털 회로설계 및 simulation을 진행하여 간단한 집적회로 layout까지 학습하였습니다. ... 논리게이트 및 RC delay, Width와 Spacing등을 고려하며 설계하는 방법을 배웠습니다.2. ... 이후 Virtuso를 이용하여 CMOS 인버터를 직접 설계하고 전압-전류 특성 그래프를 분석해보는 실습을 진행하였습니다.
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • 서울대학교 일반대학원 인공지능반도체공학과 연구계획서
    학부, 대학원 이수 전공 과목 중 관심과목저는 OO대학교 반도체공학과에 재학을 할 때 마이크로프로세서, SoC설계, 아날로그회로설계, 공업수학1,2, 디지털논리설계, 자료구조론, 객체지향프로그래밍 ... 저는 디지털논리설계 쪽에 관심이 많았습니다.3. ... , 운영체제, 알고리즘, 인공지능플랫폼기초및실습, 나노전자공학, 랜덤프로세스 등의 수업을 이수했습니다.
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.17
  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    (2) CMOS(Complementary metal-oxide-semiconductor) HYPERLINK \l "주석2"[2]CMOS는 MOSFET을 활용해 만든 디지털 논리 회로이다 ... 이전에 마이크로프로세서에서 배우긴 했지만, 이번 실습에서 combo Box를 보니 어떤 식으로 순차 회로를 설계하는지 알 수 있었다. ... 것이다.2) 실습 2, 실습 3OR Gate, XOR Gate 두 회로 모두 진리표와 동일한 결과값을 도출해낼 수 있었다.3) 실습 4이 실습은 XOR Gate, AND Gate를
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 크기비교기 verilog 설계
    제목 - 크기비교기 설계실습 목적크기 비교기 회로는 두 수 중에서 한 수가 크고, 같고, 작다는 것을 결정하는 회로이다. 이 회로는 조합논리회로이며 두 수를 비교한다. ... 이번 실습을 통해 Verilog의 구조적 설계 방법에 대해 배워 본다.Verilog, VHLD설계
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 패리티체크 verilog 설계
    실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다.실습 내용실습결과Verilog, VHLD설계1. ... 제목패리티 검사기 설계실습 목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 병렬-직렬 변환회로 verilog 설계
    제목병렬-직렬 변환회로 설계실습 목적레지스터는 데이터를 저장하기 위해 사용되는 기억장치다. ... 이 실습을 통해 시프트 레지스터의 동작과 이를 응용한 설계에 대해 알아본다.실습 내용실습결과Verilog, VHLD설계1. ... 따라서 병렬 입력을 갖는 시프트 레지스터를 이용하면, 병렬로 입력된 데이터를 매 클럭마다 1비트씩 출력시키는 병렬-직렬 변환회로도 설계할 수 있다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 업다운 카운터 verilog 설계
    제목동기식 BCD 카운터 설계실습 목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 이 실습을 통해서는 상태 천이를 위한 여러 가지 조건을 순차회로에 적용하는 방법에 대해 알아본다.실습 내용실습결과Verilog설계-BCD 동기식 카운터의 상태도- BCD 가산기의 Verilog ... 일상샐활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 7세그먼트FND디코더 verilog 설계
    설계한다,실습 내용실습결과논리식공통 음극 방식 7-세그먼트 디코더 진리표10진수입력(bcd[3:0])출력(fnd_data[7:0])bcd[3]bcd[2]bcd[1]bcd[0]abcdefg ... 제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF사이의 한 자리 16진수를 한 자리 7-세그먼트에 출력하고, 8비트의 슬라이드 스위치로 입력된 두 자리 16진수를 출력하기 위해 디코더를
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 우선순위 인코더 verilog 설계
    따라서 이번 실습에서는 두 개 이상의 입력이 ‘1’ 일 때 우선순위에 의해 하나의 입력에 대한 인코딩 결과를 출력하는 우선순위 인코더를 설계해본다.실습 내용실습결과진리표 작성과Schematic설계입력출력d7d6d5d4d3d2d1d0a2a1a0V00000000xxx00 ... 제목인코더 설계실습 목적및 배경인코더는 2^n개의 입력을 받아서 인코딩된n개의 출력을 발생시킨다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대