• 통큰쿠폰이벤트-통합
  • 통합검색(1,597)
  • 리포트(1,473)
  • 시험자료(56)
  • 방송통신대(32)
  • 자기소개서(30)
  • 논문(4)
  • 서식(1)
  • ppt테마(1)

"디지털 수치신호" 검색결과 161-180 / 1,597건

  • 종양간호학, 디지털 헬스, 스마트 헬스
    고령화와 더불어 의료비 지출을 줄이기 위한 목적으로 예방, 건강인지 및 생활습관을 더 강조하는 분위기로 인해 특정 생체 신호를 모니터링하는 것에 대한 관심이 증대하고 있다.최초로 ... 진행, 이후 수진자가 전립선암에 걸렸는지 여부를 판단하는 방식임- AI 시스템은 70%의 정확도로 전립선암을 정확하게 진단하여 의사가 체내에서 암세포로 의심되는세포를 채취해 PSA 수치로 ... Digital health: a path to validation.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.02.19
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)7. 논리함수와 게이트 할인자료
    (이 실험의 중요도, 필요성 등을 서술한다.)디지털 신호를 처리하기 위한 게이트, 그리고 이것을 이용한 회로는 디지털 연산의 가장 기본이 되며 중요한 부분이다. ... 수치를 포함하여 요약한다. 설계실습계획서에서 설계한 회로와 실제 구현한 회로의 차이점을 비교하고 이에 대한 이유를 서술한다. 설계실습이잘되었다고 생각하는가? ... 중앙대학교 전자전기공학부, “아날로그 및 디지털 회로 설계 실습”, pp 55-60
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • 2019학년도 3학년 1학기 중앙대 전자회로설계실습 10. Oscillator 설계 예비
    준비물 및 유의사항DC Power Supply(2channel): 1대Digital Multimeter (이하 DMM): 1대Digital Oscilloscope: 1대40cm 잭-집게 ... 목적OP-Amp를 이용한 Oscillator (신호발생기)를 설계 및 측정하여 positive feedback의 개념을 파악하고, 피드백 회로의 parameter 변화에 따른 신호 ... 사용한 수식 및 수치를 자세히 적어서 제출한다.beta= {R _{1}} over {R _{1} +R _{2}} = {1㏀} over {1㏀+1㏀} =0.5- 이고,T _{1} =RC
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.21
  • 기계공작법실습 예비보고서-CNC밀링
    그리고 홀 센서는 N극이 다가설 때는 1의 디지털 신호를, S극이 다가설 때에는 0의 디지털 신호를 출력하여 회전자의 위치를 파악하는 역할을 한다. ... 이러한 아날로그식 제어 방식의 번거로움과 불안정성을 보완하기 위해 범용 밀링머신의 X, Y, Z축에 수치제어방식과 연산 및 메모리기능을 부여하여 금형 등의 형상가공 및 평면 가공에
    리포트 | 5페이지 | 3,000원 | 등록일 2021.03.21 | 수정일 2021.03.23
  • 아주대학교 기계공학응용실험 - DC Motor 구동 및 DAQ
    이 때 PC가 신호를 받아들이기 위해 입력 신호를 시간에 대해 불연속적으로 나누어 디지털 값으로 변환하는 데 걸리는 시간을 sample time 이라고 하며, 사용자가 I/O 보드와 ... 이 때 입력 블록의 I/O 보드는 센서와 연결되어 센서에서 들어오는 전압을 수치 값으로 바꿔주는 역할을 한다.2. ... block(최대(최소)값을 설정하여 들어온 값이 설정한 값보다 작으면(크면) 최대(최소)값으로 신호를 주는 기능을 한다.), CW block(일정한 정수 값을 신호로 주는 기능을
    리포트 | 7페이지 | 2,000원 | 등록일 2019.10.12
  • 전공면접 대비 전기공학 전공 용어 및 문제 정리
    개루프는 시스템의 출력을 입력에 피드백하지 않고 기준입력만으로 제어신호를 만들어서 출력을 제어하고 폐루프는 출력신호가 제어동작에 직접적인 영향을 받는 시스템.맥스웰 방정식에 대한 설명전기와 ... 신호를 받고 CPU에서 이를 처리하여 출력 모듈을 통해 부하에 신호를 전달하게 됩니다.ls산전 - xgt, xgk, master k, 지멘스 - siemens(지멘스), 미쓰비시 ... 강압인지 결정됩니다.패러데이의 전자유도법칙 : 자속의 변화를 방해하는 방향으로 유도 기전력이 발생하는 것으로 V=-N d파이/dtRMS값의 의미 : 평균값이 파형에 따라 달라지므로 수치
    자기소개서 | 6페이지 | 3,000원 | 등록일 2024.03.30
  • 전기전자공학실험-다이오드의 특성
    이론 개요다이오드의 동작 상태를 파악하기 위해서 대부분의 디지털 멀티미터를 사용할 수 있다. ... 바이어스전자관이나 트랜지스터의 동작 기준점을 정하기 위하여 신호전극 등에 가하는 전압 또는 전류를 말한다. ... , 전압= V* 옴의 법칙R = V / IV = IRI = V / R저항 읽는 법- 저항은 크기가 작아 숫자로 용량을 표시하기 곤란하므로 Color Code라는 색 띠로 저항 의수치
    리포트 | 5페이지 | 2,000원 | 등록일 2023.02.14
  • 통신공학실습 결과레포트 - 실험07_ Balanced Modulator
    하지만, 가변저항을 돌렸을 때 정확한 값은 알 수 없어 Simulation과 수치적인 값의 차이가 존재하지만, 그림 3의 LM1496의 Datasheet를 참고하면 평형상태일 때 50 ... Devices) of this Lab(1) 인두세트 : 1ea.(2) Computer & Pspice program : 1 ea.(3) Power Supply : 1 ea.(4) Digital ... 할 때 , 는 다음과 같이 표현 가능하다.그리고 이 두 신호보다 전력 효율이 좋음을 알 수 있다.
    리포트 | 13페이지 | 2,000원 | 등록일 2021.04.04
  • 한국전력공사 ICT 전공기출, 면접기출(2019년도) 현직인증
    수신하고, 수신한 디지털 신호를 전자기기에서 이용하는 방식이다.장점1. ... 신호 전송 체계가 디지털이라서, 디지털이라 컨버터가 필요없다.3. 인체에 무해하다.4. 값싼 LED 전구를 이용하기에 가격경쟁력이 있다.단점1. ... LI-FI에 대해서 설명Li-Fi (Light-Fidelity)는 가시광선을 이용해 데이터를 전송하는 기술이다.구동 방식은 LED 전구에서 보낸 디지털 신호를 광통신에 쓰이는 수광소자로
    자기소개서 | 27페이지 | 11,000원 | 등록일 2020.01.05 | 수정일 2020.02.22
  • 데시벨
    소리의 크기를 측정하는 것이므로 일단 마이크가 있어야 합니다.마이크로부터 음성 신호가 전기 신호로 바뀐다는 가정 하에 전기량을 측정하여 그것을 수치화 하는 것이 기술적 과제입니다.이제 ... 해결해야 하는 문제의 순서에 맞게 정리를 해보겠습니다.마이크의 종류에 따라 수신되는 전압의 세기가 다르다전압을 AD컨버터로 디지털화 하여 전압을 측정할 수 있다전압에 따라 데시벨로
    리포트 | 4페이지 | 2,500원 | 등록일 2019.11.21
  • 마케팅원론 ) 빅데이터를 활용하여 성공한 마케팅 사례
    서론데이터는 의미 있는 모든 값이나 신호다. ... 커피 트렌드는 고객 감동을 선사하는 IT서비스로 고객 편의성을 높이는 등 다양한 시도를 하고 있는데, 스타벅스의 경우 앞서 얘기한 명당 자동차 보유 대수 456.6대보다 증가한 수치를 ... 사이렌 오더' 서비스를 설계한 스타벅스 코리아 디지털기획팀이 마케팅에 활용할 빅데이터를 만들었다.
    리포트 | 10페이지 | 5,000원 | 등록일 2024.01.18
  • 용인세브란스병원 2024 신규간호사 취업 준비 총 자료 (자소서 + 인적성검사 + 면접 1,2차)
    - 적신호 사건 알아?? ... 당화혈색소: 2-3개월 평균 수치7. 투석 대상자 간호: 동정맥류 흐름상태8. 크론병: 장내흡수 불가9. RLQ Pain: wbc 수치10. 대사성 산증: 중탄산 이온 투여11. ... 본원의 디지털 문화가 간호사, 환자 모두에게 긍정적인 영향을 미치고 있음을 느꼈습니다.
    자기소개서 | 13페이지 | 8,000원 | 등록일 2023.09.05 | 수정일 2024.02.13
  • 데이터 자본주의 독후감(리뷰)
    그래서 모든 정보를 바로‘가격’이라는 하나의 수치로 요약을 한 것입니다. 그리고 가격 정보를 교환하는 데 중점을 뒀습니다. ... 우리가 어떤 특징을 이용해 사진을 찾는 사진 앨범 관리에 사용하거나, 스마트폰이 우리 목소리를 ‘인지’하게 하거나, 스마트워치에 설치된 건강앱이 위험한 건강 상태를 알리는 신호를 감지하는 ... 디지털 시대의 초창기 수십 년 동안 화폐 기반 시장을 제자리에 머물게 한 것은 바로 그러한 방법의 부재 때문입니다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.10.28
  • ,택시교통사고현황,택시회사의운영제도,해외사례
    가장 많이 차지함 그 수치가 크게 증가하는 추세 운전 태도 상의 문제점구분 전차종 비사업용 자동차 사업용자동차 총 버스 법인택시 개인택시 화물 렌터카 기타 00 시∼ 02 시 180 ... 보도자료 , “ 서울시 , 『 택시개혁 종합대책 』 마련” , 2011 안기정 , “ 서울시 택시서비스 향상 방안” , 2011 장석용 , “ 교통사고 영상기록장치 (DVR : Digital ... 택시 교통사고 현황2 .1 법규위반별 교통사고 현황 ( 단위 : 건 / 서울지역 ) 년도 해당 없음 ( 기타 ) 주취 초과 (0.05 이상 ) 주취 미만 신호 위반 중앙선 침범 속도
    리포트 | 28페이지 | 3,000원 | 등록일 2020.11.11
  • [A+결과레포트 전자회로설계실습]10. CMOS Inverter, Tri-state 설계
    그 결과, 가 증가하면 의 크기가 증가하고 의 크기가 증가한다는 것을 수치 상으로 얻을 수 있었다. ... 그 결과, 가 증가하면 의 크기가 증가하고 의 크기가 증가한다는 것을 수치 상으로 얻을 수 있었다. ... 0V가 나옴을 알 수 있다.‣ e=5V 일 때,출력전압이 700mV로 나오므로 출력저항을 계산 할 수 있다.이를 연립하여 출력저항 R을 구해보면, R=1.00458㏀이다.e의상태[디지털
    리포트 | 12페이지 | 2,000원 | 등록일 2020.11.26
  • (A+)생활속 인간과 컴퓨터 중간고사
    디지털 과정은 먼저 아날로그 신호로부터 일정한 간격으로 표본을 추출하여 (sampling) 하고 , 추출된 아날로그 신호를 이산형 (discrete) 값으로 계량화하여 (quantization ... 나이퀴스트의 sampling theorem 에 의하면 표본추출 주파수가 아날로그 신호의 최 대주파수의 두 배 이상이면 , 표본추출된 신호로부터 원래의 아날로그 신호를 정 확히 재생할 ... 만들어졌기 때문이며 오늘날의 컴퓨터는 수치 계산뿐만 아니라 데이터를 입력받아서 기억 하고 이를 분류 처리할 뿐만 아니라 제어 기능을 가지며 사용자가 원하는 정보를 출력 해주는 기능도
    시험자료 | 130페이지 | 2,000원 | 등록일 2020.08.03
  • Oscillator 설계 예비보고서
    준비물 및 유의사항DC Power Supply (2channel)1대Digital Multimeter (이하 DMM)1대Digital Oscilloscope1대40cm 잭-집게 연결선 ... 목적OP-Amp를 이용한 Oscillator (신호발생기)를 설계 및 측정하여 positive feedback의 개념을 파악하고, 피드백 회로의 parameter 변화에 따른 신호 ... 사용한 수식 및 수치를 자세히 적어서 제출한다beta = {R _{2}} over {R _{1} +R _{2}} (R1=R2=1㏀이므로)beta =0.5T _{`} =`RCln( {
    리포트 | 8페이지 | 1,500원 | 등록일 2021.06.18
  • 유도기 동기기 MG세트의 3상 인버터 구동 실험 예비보고서
    이후 영상에서 측정된 수치들은 표로 정리한다. ... -디지털 입력 신호에 따른 다단속 주파수 설정 실험(8) 관련이론에서 설명한 방법을 이용하여 다단속 주파수에 해당하는 파라미터를 다음과 같이 변경한다.(10, 30, 20, 50, ... 40, 55, 60Hz)(9) 1~7까지의 숫자에 해당하는 3비트 바이너리 입력 신호를 제어단자 P5 ~ P7에 인가한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.02.21
  • [A+] 중앙대 아날로그 및 디지털 회로설계실습 위상제어루프(PLL) 결과보고서
    결과보고서 7.과목명아날로그 및 디지털 회로 설계 실습담당교수학과전자전기공학부조학번작성자실습일제출일설계실습 7. 위상 제어 루프(PLL)7-4. 설계실습내용 및 분석7-4-1. ... 검토사항결과보고서는 반드시 교재 앞부분의 결과보고서의 형식으로 작성하되, 다음 사항을 검토하여 작성하라.이번 설계실습에 대해서 측정 수치를 포함하여 서술한다. ... 입력단에 기준신호 Frequency :5kHz`, Amplitude :0` SIM5V``중2.5`V``, Function : Rectangular로 신호를 가하였다.< 그림 4.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.01
  • 루퍼트 머독의 미디어 전쟁 비평문
    미래 디지털 시대의 운명은 아직 결정되지 않았다. ... 무엇보다 객관적인 수치를 나타내야 하는 통계와 도표가 선전의 전략 중 하나로 나락해버렸다. ... 라는 신호이다. 책임을 피하려는 것, 방송에 있어 이와 같은 시도는 매우 색다른 기술이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.04.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대