• 통큰쿠폰이벤트-통합
  • 통합검색(3,522)
  • 리포트(3,349)
  • 시험자료(85)
  • 방송통신대(44)
  • 논문(27)
  • 자기소개서(16)
  • ppt테마(1)

"로클럭" 검색결과 161-180 / 3,522건

  • (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판기
    TCNT와 OCR의 값이 같아지면, 내부적으로 OCnX 신호에 의하여 템 클럭 주파수를 클럭 소스로 사용하며 프리스케일러에 의해 분주되어 clktn으로 control logic으로 ... 프리스케일러를 통해 시스템클럭이 정해지고, 그 클럭은 TCNT에 카운팅되면서 카운터값이 0xFFFF에서 0x0000으로 오버플로우될 때 TOV1이 1로 셋되면서 오버플로우 인터럽트가 ... 하강 에지를 선택할 수도 있다.출력 비교부3개의 PWM 출력모드를 가지고 있기 때문에 OCR은 3개씩 있음을 유의항상 TCNT와 OCR을 비교하고 있으며, 그 값이 일치하면 다음 클럭
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
  • 디지털 회로 실험-플립플롭
    클럭 입력이 0에서 1로 바뀔 때 D입력의 상태를 저장하게 된다.JK 플립플롭 : RS와 달리 J와 K가 1이여도 클럭펄스에 의해서 반전이 일어날 수 있는 개량된 플립플롭에 속한다. ... 클럭 신호가 0에서 1로 바뀔 때 D가 1이면 Q=1이 되고, D가 0이면 Q=0이 된다. ... 클럭(clock)이 있을 때만 RS 래치로 동작한다.D 플립플롭 : D형 플립플롭도 RS 플립플롭과 같이 클록 입력이라고 불리는 트리거 신호에 의해 제어된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.09.10
  • 플립플롭을 이용한 카운터 예비보고서
    동기식 플립플롭은 기본 플립플롭의 회로에 게이트를 추가하여 플립플롭이 한 클럭 펄스 발생 기간 동안에만 입력에 응답하도록 구현한 회로이다.입력 S와 R은 클럭 펄스가 발생했을 때 제어 ... 입력으로 동작하고 클럭 입력은 상승 에지를 나타내며 입력에 따른 현재 상태와 다음 상태를 나타내는 표를 특성표라 한다.3.
    리포트 | 3페이지 | 3,000원 | 등록일 2020.04.23 | 수정일 2020.10.26
  • 실험4 예비 마이크로프로세서 실험 및 설계 실습7. 타이머로 LED 점멸
    .-8비트 타이머/카운터의 동작동작 모드 결정.타이머에 사용할 클럭 소스와 프리스케일러 결정원하는 타이머의 주기 및 그 주기 동안의 시간을 정확히 세기위한 타이머 클럭의 수 결정카운터 ... 선택(Clock Select)타이머/키운터에 사용할 클럭과 프리스케일러를 선택타이머/카운터 0- 타이머/카운터 레지스터 n(n은 0 or 2)타이머/카운터 n의 8비트 카운터 값을 ... 값, Waveform Generation 방식 등을 결정-COM(Compare Output Mode)OCn핀의 동작을 조정COMn1/COMn0에 따른 Ocn 핀의 동작-CSn : 클럭
    리포트 | 3페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 부산대학교 응용전기전자실험1 4장 예비보고서
    발생기나 발진회로의 클럭을 받아 각각의 진수 카운터를 출력하는 소자이다.위 그림과 같이 74ls90은 내부적으로 2진카운터, 5진카운터 회로로 나뉘어 있다. ... . 7490 카운터 소자에 대해서 조사하고 동작원리를 간단히 설명하시오.카운터는 수를 세는 데 사용되는 하드웨어 디지털 회로 또는 컴퓨터 프로그램이다. 7490 카운터는 입력으로 클럭
    리포트 | 11페이지 | 1,500원 | 등록일 2022.11.13
  • 방송대 컴퓨터의이해 과제물
    따라서, 이 클럭 수치가 높을수록 빠른 속도와 성능의 CPU라고 할 수 있다.과거에는 이러한 클럭 속도가 CPU의 성능을 나타내는 기준으로 주로 판단되어 왔지만, 는 한 CPU 에 ... 2개 또는 그 이상의 코어를 가진 멀티 코어 CPU 들이 등장하여 클럭 속도 외에도 코어의 수가 CPU의 성능을 나타내는 또 하나의 기준이 되고 있다. ... 이러한 컴퓨터의 속도는 CPU의 종류마다 천차만별이다.CPU의 속도를 나타내는 대표적인 단위는 ‘클럭(clock)’이며, 이것은 초당 CPU 내부에서 몇 단계의 작업이 처리되는 지를
    방송통신대 | 11페이지 | 3,000원 | 등록일 2020.11.27
  • TIMER 기초 결과보고서
    우노의 클럭을 4체배를 하게 된다면 64MHz인데 프리스케일러가 4일 때 값이 기존 우노의 클럭일때의 카운터 인터럽트 주기의 최대값과 같다. ... 16MHz인데 1클럭당 소요되는 시간을 계산해보면 62.5ns이 나온다. 8비트 타이머를 이용한다고 가정했을 때 카운터 인터럽트 주기의 최대값을 구하면 16цs가 나온다. ... 기준 클럭(clæk)을 4체배할 경우 타이머 최대 주기가 몇 초까지 늘어날 수 있는지 계산해본다.10bit 타이머와 16bit 타이머에서 사용되는 함수가 다를 것이고, 최대 타이머
    리포트 | 8페이지 | 1,000원 | 등록일 2021.09.25
  • 8주차 예비보고서- 디지털 시스템 설계 및 실험
    클럭이 발생하는 입력은 같지만 현재 상태에 따라 출력 값이 다른 순차회로가 됩니다.always 문에서 값을 할당할 수 있는 데이터 형은 레지스터 형입니다. ... 클럭이 postive edge일 때 주소 신호로 인가된 주소 데이터에 해당하는 RAM 내부 레지스터 주소에 저장된 값을 'out_data'로 출력하는 기능이다.Verilog 문법1) ... 클럭이 postive edge이고 WE(Write Enable)신호가 있을 때, 주소 신호로 인가된 주소 데이터에 해당하는 RAM 내부 레지스터 주소에 데이터 신호로 인가된 값을 저장하는
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • [마이크로컨트롤러]9th_AD변환기
    : clk ADC ▶ 시스템 클럭 (CPU 클 럭 ) 은 너무 빠르므로 ADC 클럭으로 사용하기 어려움 ▶ ADC 클럭은 50 ~ 200KHz 주파수 의 펄스가 적절 ADPS2 ADPS1 ... 아날로그 입력 채널 5 PF6(ADC6/T 0 0 0 0 ADC 활성화 AD 변환시작 AD 변환 모드설정 인터럽트 플래그 인터럽트 활성화 AD 변환 분주비 설정 6 (1) AD 변환 클럭 ... 비트 정수형 상수 처럼 사용 (8) AD 변환 시작 명령 : ADCSRA 레지스터 ADSC 비트 ADC 인터럽트 사용 시 주의 사항 프리 러닝 AD 변환일 때 , 13 개 ADC 클럭사이클마다
    리포트 | 20페이지 | 1,500원 | 등록일 2022.10.10
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습11 카운터 설계 예비보고서
    단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... Q2는 Q1 출력의 falling edge에서 값이 바뀌므로 Q1 신호의 1/2배, 즉 입력 클럭 신호의 1/4배의 주파수를 갖는다. ... 또한, 입력 신호, Q1 신호, Q2 신호의 파형을 함께 그린다.Clock의 falling edge에서 Q1의 값이 바뀌므로 입력 클럭 신호의 1/2배의 주파수를 갖는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.06
  • 전자계산시 논리회로에서 조합논리회로와 순서논리회로의 차이점을 제시하고, 구조 및 특징을 제시하시오.
    간단하게 정리하면 동기식은 클럭이 있고 비동기식은 클럭이 없다는 것이 차이점이다.1) RS플립플롭두 개의 NAND 게이트로 구성된다. ... 플립플롭은 신호의 타이밍에 따라 동기식과 비동기식으로 구분되는데 동기식은 클럭 펄스라는 주기적인 신호가 있을 때만 동작하고 비동기식은 시간과 관계없이 입력이 변하는 순서에 따라 동작한다
    리포트 | 8페이지 | 3,500원 | 등록일 2024.01.21
  • 서울시립대 전자전기설계2(전전설2) 6주차 결과보고서
    J=K=1인 조건에서 플립플롭은 클럭의 신호에 대하여 항상 출력값을 반전(이전 출력의 보수)시킨다.D플립플롭오직 하나의 데이터 입력을 가지며, 클럭이 발생하면, 입력 D의 상태를 Q에 ... 클럭 하나를 거치지 않고 바로 전송/저장이 되는 것이다.3. ... 위 사진을 확인하면 a의 값은 바로 입력이 되지만, b의 값을 나타내는 LED를 살펴보면 한 클럭 늦게 이전의 값을 나타내는 것을 확인할 수 있다.
    리포트 | 14페이지 | 1,500원 | 등록일 2019.10.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 7주차 Lab07 결과 레포트 Sequential Logic 2, 전자전기컴퓨터설계실험2,
    설명에서 보이듯 posedge에서 in과 ~in_dly가 1이면 출력인 in_syn에서 1이 출력되고 한 클럭 동안 1이 유지된다. ... 한 클럭 동안의synchronized된 신호 (in_syn) 생성을 접하게 되어 주변에 많은 조언을 구한 뒤에 해결할 수 있었다. ... 토의1)실습[0]실습[0]번은 다음 그림과 같이 버튼 입력(in)으로 부터 한 클럭 동안의synchronized된 신호 (in_syn) 생성해야 했다.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    실습 1에서 reg로 선언한 카운터가 클럭에 동기화되어 자동으로 값이 올라가고 그 값을 segment의 형태로 single FND에 표시하도록 하는 모듈을 만들었다. ... .- 입력: 1Hz의 Count clock- resetn: 0으로 초기화(비동기)- Up/down mode change: 1kHz 클럭으로 mode change- count up은 ... 동기화)- 출력: 8bit signed count 값을 앞의 실습 5 모듈에 instantiation하여 넘겨주어 FND Array에 출력always문 두 개를 각각 다른 클럭
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 병렬-직렬 변환회로 verilog 설계
    레지스터의 종류는 다양하며, 시프트 레지스터는 클럭이 입력될 때마다 저장된 데이터를 1비트씩 이동시킨다. ... 따라서 병렬 입력을 갖는 시프트 레지스터를 이용하면, 병렬로 입력된 데이터를 매 클럭마다 1비트씩 출력시키는 병렬-직렬 변환회로도 설계할 수 있다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 기초전자회로실험 - D래치및 D플립플롭 예비레포트
    데이터는 클럭과 동기되어 있다. 즉, 매클럭 펄스마다 새데이터 비트가 테스트된다. 회로를 구성하고 클럭을 1Hz로설정하라. ... PRE를 LOW로 놓고 클럭에는 HIGH를 인가한후라. ... 다음에는 클럭펄스를 분리한 상태에서 위 과정을 반복하라.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • Basic computer
    레지스터의 설계1) 16Bit 레지스터AC - 제어신호: LD, INR, CLR, Clock- LD 신호에 의해 클럭의 rising edge에서 0002가 로드 되고 다음 클럭에서
    리포트 | 33페이지 | 5,000원 | 등록일 2020.12.16
  • 6주차-실험17 예비 - 쉬프트 레지스터
    이때 SI=0, Shift=0으로 하고 누름 스위치로 클럭 펄스를 한 번 가한 후의 출력을 기록하라. ... 또한 입출력 쉬프트레지스터는 레지스터의 단수와 클럭 주파수에 대한 함수인 입력에서 출력까지 시간-지연을 발생시키는데 사용될 수 있습니다. ... 이와 같이 반복하여 1011을 네 번의 클럭펄스를 사용하여 차례로 직렬로 입력시키면서 그 때마다 ABCD의 출력을 관측하여 표를 만들어라.⇒ D플립플롭을 이용한 가장 기본적인 직렬
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.17
  • 8장 순차논리회로 설계 및 구현(2) 결과
    클럭수가 1씩 올라 갈 때 마다 십진수도 1씩 증가하도록 출력값이 나왔고 십진수가 15가 되었을 때 다시 0으로 되돌아가 반복됨을 볼 수 있다.나. 4비트 동기식 하향 카운터를 설계하고 ... 클럭수가 1씩 올라 갈 때 마다 십진수도 1씩 감소하도록 출력값이 나왔고 십진수가 0이 되었을 때 다시 15로 되돌아가 반복됨을 볼 수 있다.☞ 0부터 15까지 LED의 불이 바뀌는 ... .☞ 함수발생기로 발생시킨 클락 펄스가 제대로 발생되는지 오실로스코프로 확인하는 모습.클럭수출력십진수(DSTM1)Q3Q2Q1Q0초기화000*************2300113401004501015601106711117810008910019101010101110111112110012131101131411101415111115160000017000111800102
    리포트 | 6페이지 | 1,000원 | 등록일 2021.01.06
  • 디지털 논리회로 실험 10주차 Counter 예비보고서
    동기식 카운터는 사용된 모든 플립플롭들의 클럭 단자가 하나의 공동 클럭입력 CLK에 연결되어 있어 모든 플립플롭들이 동일한 시간에 자신의 상태를 변화시킨다. ... 다라서 만일 NAND 게이트의 출력이 0이 되면 모든 플립플롭들의 Q값이 클럭에 상관없이 곧바로 0이 되어 버린다.만일 초기에 카운터 값이 Q3Q2Q1Q0=0000이라고 가정하면 클럭이 ... 여기서 “기억”한다는 것은 입력정보(클럭 펄스의 개수)를 저장한다는 것과 같은 말이므로, 앞에서 다룬 플립플롭의 중요한 성질인 “현상태 유지” 특성을 적절히 이용하면 된다.
    리포트 | 14페이지 | 1,500원 | 등록일 2021.04.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대