• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,057)
  • 리포트(2,898)
  • 시험자료(104)
  • 자기소개서(29)
  • 방송통신대(13)
  • 서식(8)
  • 논문(4)
  • ppt테마(1)

"신호발생기 예비" 검색결과 161-180 / 3,057건

  • [계측공학 및 실습]데이터 수집 및 처리(DAQ 시스템)_예비보고서
    함수발생기 사용법[ 함수발생기 ]함수발생기함수발생기는 낮은 레벨의 다양한 교류 (정현파. ... 신호의 진폭오실로스코프의 기본 요소는 음극선관(CRT), 스위프 발생기, 동기 회로를 포함한 수평 및 수직 편향 증폭기, 및 전원공급 장치이다.스위프 발생기: 수평 편향 증폭기의 입력으로서 ... 된다.디지털회로에서 입력파형으로 요구되는 TTL 및 CMOS 논리 신호도 제공되므로 디지털회로 분석에도 용이하다.[ 함수발생기 사용법 ]녹색 LED 디스플레이 : 내, 외부 신호
    리포트 | 8페이지 | 1,500원 | 등록일 2023.02.10
  • 충북대 기초회로실험 Multiplexer 가산-감산 예비
    Full subtractor)74LS153 multiplexer로 전감산기를 구현하기 위해서는 하나는 차를 발생시키는데 사용되고, 다른 하나는 자리빌림을 발생시키는데 사용된다.BACiSubtractBorrow0000000111010100110010011101011100011111예비과제 ... Multiplexer 가산-감산(예비보고서)실험 목적(1) 전가산기 구성을 위해 2개의 4입력 Multiplexer 사용을 익힌다.(2) 2개의 4-입력 Multiplexer를 감산기로 ... 예를 들어D _{0}~D _{3}의 신호를 선택선A,B의 조건에 따라 1개만 선택하여 전송하는 경우를 보면 스토로브 신호S`=1인 경우는 회로가 디스에이블 된 상태로 어떠한 데이터도
    리포트 | 3페이지 | 1,500원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 555타이머 예비보고서
    실험 예비 보고3.1 수위 조절기[그림 9-5]는 555 타이머를 이용한 간단한 수위 조절기이다. ... 전원만 주어지는 한 주기적인 파형을 발생시키는 것을 말한다. ... 기초 이론2.1 555 타이머 IC칩: 기본적으로 두 개의 비교기, 한 개의 플립플롭, 방전용 트랜지스터 및 전압분배기로 구성된다. 출력상태는 입력신호에 따라 바뀔 수도 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.27
  • 전기전자공학기초실험-차동 증폭기 회로
    전기전자기초실험 예비보고서전자16장. 차동 증폭기 회로1. 실험 목적차동 증폭기 회로에서 직류동작과 교류증폭을 이해한다.2. ... 위의 실험 4(1)에서 측정한 값과 차이가 발생한 원인을 설명하라.A1) V01 - VO2 = 4.24VQ2) 표 16-3에 측정값을 기록하고 오차가 발생한 원인을 설명하라.A2) ... 예비 실험값 및 피스파이스표 16-1 BJT 차동 증폭기의 직류값VB1VEVC1IEVRC1re1이론이론이론이론이론이론34.9mV656mV7.97V934uA2.02V27800ΩVB2VC2VRC2re2이론이론이론이론0V2.75V7.25V27800Ω표
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.02
  • 전자공학응용실험 - 능동부하가 있는 공통소스 증폭기 예비레포트
    6차 예비레포트학번 :이름 :분반 :1. 실험 제목 : 실험 17. 능동부하가 있는 공통소스 증폭기2. ... 예비 보고 사항 :(1) [그림 17-5]의 실험 회로를 T모델을 사용하여 소신호 등가 회로로 표현하고, 입력 단에서 바라본 회로의 입력 저항, 출력 단에서 바라본 회로의 출력 저항 ... 측정 대상의 이름을 따서 VOM(Volt-Ohm-Milli amperemeter)이라고도 하며, 아날로그(Analog)형과 디지털(Digital)형이 있다.[2]3) 함수발생기:함수발생
    리포트 | 6페이지 | 2,500원 | 등록일 2021.12.20
  • A+ 연세대학교 기초아날로그실험 7주차 예비레포트
    Filter의 소개 및 실습예비보고서학번 이름1.이론1.1 FilterFilter circuit이란 특정한 주파수 대역의 신호를 통과시키거나 반대로 특정 대역의 신호를 제외하여 통과시키는 ... frequency는 3주차 passive component 실험에서도 사용한 개념으로써 통과 대역에서의 신호 값에 비해 배(= -3dB) 크기의 출력 신호를 갖는 주파수를 뜻한다. ... 이 작은 오차는 앞선 실험들과 비슷하게 scaling을 통하여 L, C 소자값을 계산할 때 소수점 자리들을 반올림하여 계산했기 때문에 발생한 오차로 보인다.
    리포트 | 16페이지 | 1,500원 | 등록일 2023.07.03
  • 교류및전자회로실험 실험3 순차 논리 회로 기초 예비보고서
    함수발생기는 정현파, 펄스(구형파), 삼각파와 같은 교류 신호를 출력하며, 신호의 진폭과 주파수를 자유롭게 조절할 수 있다.1: 표시부 출력2: 설정용 다이얼3: 숫자키 (주파수나 ... 기술하였으므로 생략한다.)- 스위치(이전 실험에서 기술하였으므로 생략한다.)- LED(이전 실험에서 기술하였으므로 생략한다.)- 오실로스코프(이전 실험에서 기술하였으므로 생략한다.)- 함수발생기함수발생기는 ... (회로 구성은 동일하다)(7) 아두이노 보드의 디지털 입력중 하나를 플립플롭의 Clock으로 사용하고 함수발생기를 이용하여 0-5V 100Hz의 구형파를 발생시켜 입력한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.06.22
  • 실습 10 예비보고서 Oscillator 설계
    Oscillator 설계실습날짜교과목 번호제출기한작성자제출날짜(메일)1.목적OP-Amp를 이용한 Oscillator (신호발생기)를 설계 및 측정하여 positive feedback의 ... Oscillator (신호발생기) 회로도L+=-L- 이므로 아래식처럼 T1=T2=0.5 msec을 이용하여 R을 계산하였다.beta `=` {R _{1}} over {R _{1} ` ... PSPICE를 사용한 Oscillator의 설계(A) L+=-L-=12 V, R1=R2=1 kΩ, C=0.47 μF으로 주어진 경우, T1=T2=0.5 msec가 되도록 아래 그림 1의 신호발생기
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.29
  • [알기쉬운 기초 전기 전자 실험 (문운당)] 12. 오실로스코프_사용법_및_위상_측정 예비보고서 (A+)
    [그림 12-1]에서와 같이 CRT 내부에 존재하는 수평발생기의 역할에 따라 오실로스코프의 동작형태는 다음과 같은 두 가지 형태로 동작된다. ① 시간축 발생신호에 의한 수평 편향계, ... .(4) 신호 발생기의 출력을 오실로스코프의 입력단자와 연결하고, CRT 화면을 보면서 3[V] 진폭과 1[kHz]의 주파수를 갖는 구형파(Duty Cycle = 1/2)를 발생하도록 ... 실험 방법(1) 오실로스코프 Probe 보정절차를 수행하여라.(2) 신호 발생기(Signal Generator)의 출력을 오실로스코프의 입력단자와 연결하고, CRT 화면을 보면서 3
    리포트 | 9페이지 | 1,000원 | 등록일 2023.12.31
  • 1. 초전형(Pyroelectric) 적외선 센서 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    1MΩ1개2개1개1개2개1개2개2개사용장비 및 소프트웨어 (PSpice Lite ver. / MATLAB)오실로스코프(Oscilloscope)브레드보드(Bread board)파워서플라이(Power supply)함수발생기 ... Op-amp 반전증폭기를 2-stage 로 연결하여 적외선 센서의 출력신호에 변화가 생길 경우 그 신호를 증폭시키는 회로를 설계하시오. ... 아날로그 및 디지털 회로 설계 실습-실습 1 예비보고서-초전형(Pyroelectric) 적외선 센서소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.09.09(목)분반
    리포트 | 7페이지 | 1,000원 | 등록일 2022.09.09 | 수정일 2023.01.03
  • 실험3 순차논리회로기초 - 교류및전자회로실험
    필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호 를 사용하므로 전용의 클럭 배선이 필요한 경우도 있다. ... 예를 들면 이전 상태에서 Q = 0 , Q’ = 1 이라면 다음상태에서는 Q = 1, Q’ = 0 이 된다.실험순서소프트웨어를 이용한 D 플립플롭회로도와 결선도함수발생기 구형파 입력프로그램 ... 결과표입력(INPUT)출력(OUTPUT)예상값측정값ClockD(스위치)Q(t)Q(t)00(off)001(on)010(off)011(on)1소프트웨어를 이용한 T 플립플롭회로도와 결선도함수발생
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.20
  • 아날로그 및 디지털회로설계실습 실습4(신호발생기) 결과보고서
    신호발생기요약 : 이번 실험은 Wien bridge 신호발생기를 만들었다. gain이 2이고 발진 주파수가 1.63kHz인 신호발생기를 만들었다. ... 서론이번 실험에서는 일정한 주파수와 위상, 크기를 가진 주기함수를 발생시키는 신호발생기를 만드는 실험이다. ... 만드는 실험을 하였다. gain이 2이고 발진 주파수가 1.63kHz인 신호발생기를 만들었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.09.24
  • 서강대학교 22년도 전자회로실험 1주차 결과레포트 (A+자료)
    이때의 전압은 함수발생기의 내부 전압 에 해당한다.High Z 모드에서의 출력신호 크기 (V) = 10.0V(16) 함수발생기의 출력단자에서 접지단자로 부하저항 RL을 병렬로 연결하라 ... 전자회로 예비/결과 보고서실험 1주차. ... 멀티미터 측정값은 이론치와 거의 오차가 없기에, 멀티미터 또한 실효치를 잘 측정한다고 볼 수 있다.(10) 함수발생기에서 오프셋 전압을 조정하여 출력신호의 DC 레벨을 조정할 수 있다
    리포트 | 22페이지 | 1,500원 | 등록일 2022.09.21 | 수정일 2022.10.10
  • 555타이머
    두 개의 비교기는 논리 1과 0을 발생시키는 순간을 결정하며 RS플립플롭은 이들 비교기의 출력을 받아 0 또는 1 신호를 출력한다.- 타이머 IC 555 의 내부 블록도? ... 구성타이머 IC 555는 시간조정용 신호 발생회로로서 널리 쓰이는 소자이며 적은 수의 저항 및 커패시터를 연결하여 다양한 타이밍 펄스를 발생시킬 수 있다.V _{cc} 및 논리 1과 ... 비안정 동작555 IC를 아래의 그림처럼 연결하게 되면 비안정 동작을 하므로 클록 발생기라고도 불린다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.02.10
  • [A+] 전자회로설계실습 10차 예비보고서
    목적OP-Amp를 이용한 Oscillator (신호발생기)를 설계 및 측정하여 positive feedback의 개념을 파악하고, 피드백 회로의 parameter 변화에 따른 신호 ... 사용한 수식 및 수치를 자세히 적어서 제출한다.위의 식을 통해 이다.위의 값을 통해 설계한 설계도는 과 같다.그림 3.1 Oscillator (신호발생기) 회로도 (B) PSPICE를 ... PSPICE를 사용한 Oscillator의 설계(A) L+=-L-=12V, R1=R2=1㏀, C=0.47㎌으로 주어진 경우, T1=T2=0.5msec가 되도록 아래 그림 3.1의 신호발생기
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.21 | 수정일 2023.06.23
  • 3과목 인간공학 및 시스템안전공학 (2016~2022년 기출문제에 나온 것은 밑줄)
    관리대상 유해물질 및 분진작업시스템이 저장, 이동, 실행됨에 따라 발생하는 작동시스템의 기능이나 과업, 활동으로부터 발생되는 위험분석에 사용결함위험분석(FHA)이나 예비위험분석(PHA ... )보다 복잡시스템 구성시스템 정의시스템 개발시스템 생산시스템 운전FHA□ 예비위험분석(PHA)의 적용단계 : 시스템의 구상~시스템 개발 전까지□ 예비위험분석(PHA)의 이루어지는 단계 ... 4가지 결과로 나눌수 있다□ 허위 (false alarm) : 신호검출이론(SDT)의 판정결과 중 신호가 없었는데도 있었다고 말하는 경우□ 신호검출이론(SDT)에서 두 정규분포 곡선이
    시험자료 | 13페이지 | 1,500원 | 등록일 2023.04.09
  • [물리][탐구활동보고서][자유주제탐구활동보고서][수행평가] 물리 과목 자유주제탐구활동 보고서 중 GPS에 관한 탐구활동보고서입니다.
    응급 구조 용으로 사용되는 GPS 는 사고 발생 시 정확한 사고 장소를 파악하는데 큰 도움을 준다 . ... 군사용으로는 무인비행기의 조종 , 각종 항공기의 위치파악 및 관제 , 전장에서 병사들의 위치파악과 효율적인 배치 등에 응용된다 . ... GPS (Global Positioning System) 는 GPS 위성에서 보내는 신호를 수신해 사용자의 현재 위치를 계산하는 위성항법시스템이다 .
    리포트 | 10페이지 | 3,000원 | 등록일 2021.12.14
  • 기초회로실험 15주차 결과레포트
    특히 전압의 변화를 신호로써 표시해주는 장치로 사용된다.● 함수 발생기(Function Generator)1대그림 SEQ 그림 \* ARABIC 2 함수 발생기함수 발생기는 시간에 ... 한편 이론값은 앞서 예비레포트에서 작성한 내용을 통해 계산할 수 있는데 커패시터 정상상태 응답,에서 tangent로 표현되는 위상각을 통해 계산하였다. 이므로,이다. ... 대한 그래프 상에서 전압의 연속적인 임의 모양을 갖는 특정한 주파수를 발생시키는 장치이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2023.12.07
  • 선형증폭기 실험보고서
    실험 11 : 연산 증폭기 (op-amp) - 선형 증폭[예비 질문1] negative feedback의 장단점을 조사한다.negative feedback 회로를 구성하게 되면, 증폭 ... 저항 및 파형발생기를 과정 1.1과 같이 설정하고 입력과 출력을 scope로 동시에 관측한다. scope로 관측한 두 파형의 차이를 설명한다. ... 또한 출력을 입력에 비례하도록 하여 gain을 신호 크기에 무관하게 (일정하게) 만드는 역할을 한다.설계 정확도에 따라 회로가 발진할 가능성이 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.06
  • 555 타이머 (전자회로실습)
    예비 보고서3.1. 수위 조절기[그림 9-5]는 555 타이머를 이용한 간단한 수위 조절기이다. ... 예비 보고서일 자조학 번이름제 목555 타이머 (555 Timer)1. 실험 목적? 단안정 동작 모드에 대해 알아본다.? 비안정 동작 모드에 대해 알아본다.? ... 기초이론555 타이머 IC칩: 기본적으로 두 개의 비교기, 한 개의 플립플롭, 방전용 트랜지스터 및 전압분배기로 구성된다. 출력상태는 입력신호에 따라 바뀔 수도 있다.
    리포트 | 8페이지 | 4,000원 | 등록일 2021.10.13
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대