• 통큰쿠폰이벤트-통합
  • 통합검색(382)
  • 리포트(374)
  • 시험자료(4)
  • 논문(2)
  • 자기소개서(1)
  • 방송통신대(1)

"주파수 분주" 검색결과 161-180 / 382건

  • 비동기카운터
    그러나 카운터는 펄스의 계수 이외에도 주파수분주, 시간의 측정, 주파수 또는 주기의 측정 및 공정의 제어 등 그 응용분야가 넓은 회로이다.비동기식 카운터란? ... 출력을 확인해 8진 비동기 카운터의 동작을 확인하는 실험 이였다.8진 비동기 카운터를 통해 7세그먼트에 0~9까지 출력됨을 확인하고,8진 비동기 카운터의 동작 원리와 구조를 확인할 수가
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.26
  • PIC16F84A 잔상기 설계 (하드웨어 및 회로도, AVR 코드 첨부)
    바이패스 커패시터를 연결하여 교류전압을 GND로 모두 빼주어 고주파의 노이즈를 제거할 수 있다.LEDLED는 PIC칩의 PORTB와 PORTA.0~3까지의 핀과 연결되어 있다. ... 설정할 수 있다.2. ... 0일 때는 low to high일 때 증가한다.bit31일 때 프리스케일러가 워치독 타이머에, 0일 때 타이머/카운터0에 배치된다.bit2~0세 비트를 설정함으로서 프리스케일러의 분주비를
    리포트 | 7페이지 | 1,500원 | 등록일 2015.01.27
  • 3주차 예비보고서(타이머와 카운터)
    클럭소스 : TOSC1에 입력된 시스템 클럭 주파수의 1/4미만의 외부클럭, TOSC1/TOSC2단자에 연결된 수정 진동자에 의해 발생된 클럭, 내부클럭? ... 이 레지스터는 쓸 수도 있고 읽을 수도 있다.? 임의의 값을 써주면 타이머의 주기를 더 빠르게 할 수 있다.? ... 분주비 ; 1, 8, 32, 64, 128, 256, 1024④ 타이머 2? 클럭소스 : T2핀으로 입력되는 외부 클럭, 내부클럭?
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 10진 카운터를 이용한 100진 카운터 결과보고서
    이들은 각기 사용할 수도 있고 연결하여 10진 카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주 카운터의 출력 �궜藍� 5분주 카운터의 클록(CLK)2로 연결시키고 계수용 ... 실험제안서에서 주파수를 0.5Hz로 정하였는데 실험결과 약 0.6Hz를 얻을 수 있었다.- 비안정 멀티바이브레이터 -ORCAD를 이용하여 비안정 멀티바이브레이터의 파형을 측정한 결과이다 ... τ = 0.5 선택R X C = τ -> 0.5 = R X 10uF 따라서 R3 = 47kΩ 선택2) 비안정 멀티바이브레이터 회로C1,C2 = 10uF, R1,R4 = 82Ω, 주파수
    리포트 | 10페이지 | 3,000원 | 등록일 2013.07.01
  • Experiment 1 - 배지 제조 및 멸균법
    멸균법에는 크게 열, 자외선, 방사선, 고주파 등을 이용하는 물리적 방법이나 소독액이나 가스를 이용하는 화학적 방법 등이 있다.1) 화염 멸균법2) 건열 멸균법3) 상압 증기 멸균법4 ... 분주 시에는 petri dish 안에 가득이 아닌 약 15~20ml 정도로 붓는 것이고, autoclave 사용 시에는 항상 압력이 떨어지기 전에는 열어서는 안되고 뜨거우므로 장갑을 ... 물질들인 beef extract, peptone, NaCl과 후에 agar을 첨가하여 NA 배지를 제조한 후 autoclave를 이용하는 습윤 멸균을 한 후 petri dish에 분주
    리포트 | 3페이지 | 1,500원 | 등록일 2014.05.23
  • 타이머 만들기 실험 보고서입니다.
    11.0592MHz로 사용시 1머신 사이클의 주기는 다음과 같이 계산이 된다.1 Machine Cycle’s period= 1/(12분주주파수)= 1/{(11.0592*10^6 ... 사용할 때에는 어느 모드를 사용하여도 시스템 클락을 12분주한 클락 소스(1 머신 사이클, 1 Machine Cycle)를 계주하고 주기적으로 인터럽트를 발생한다.시스템 클락의 주파수를 ... TL0, TL1은 분주기의 초기 값(00000B~11111B, 0~31)을 저장하는 곳이다.?
    리포트 | 9페이지 | 1,500원 | 등록일 2013.12.09
  • 디지털로직실험 16장 J-K 플립플롭
    주파수 분주 회로 같은 것은 위 실험순서 4번에 이미 실험을 하였으며 이러한 특성을 이용 하여 주파수 분주에 이용을 한다.● 결과 및 결론평가 및 복습문제1. ... 토글(toggle) 모드에서는 출력 주파수가 클럭 주파수와 같지 않다는 것을 관찰하여라. ... 종속 연결(cascade)된 플립플롭은 리플 카운터(ripple counter) 회로에서 주파수 분할을 수행하기 위해 사용된다.
    리포트 | 12페이지 | 3,000원 | 등록일 2013.06.22
  • 디지털실험 13예비 비동기 계수기
    임의의 mod를 갖는 카운터의 설계 방법을 익힌다.실험 원리카운터는 단순히 입력펄스의 숫자를 계수하는 데 사용될 뿐만 아니라 주파수 분주, 시간측정, 주파수 또는 주기측정 및 공정의 ... 즉 클럭 펄스에 의해 동작되도록되어 있고 클럭 주파수는 비동기식 카운터 내의 플립플롭의 동작 속도를 결정하는 역할을 맡게 된다. ... 비동기식 카운터에서 클럭펄스의 주파수가 높아지면 어떠한 현상이 일어날 것인가를 예측하라.비동기식 카운터는 플립플롭을 사용하여 구성된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.09.30 | 수정일 2014.11.11
  • 인터럽트를 이용한 직렬통신 결과 보고서 (1)
    실험조건의 보드레이트는 4800bps 이므로 타이머1의 출력 주파수는이 되어야 합니다. 8051에서 1번의 오버플로가 발생시 걸리는 시간의 식은이며는 틱의 수 이며는 시간 입니다. ... 따라서 0xFF와 12의 차이가 나기 위해서는 TH1에 0xF4를 넣어주면 자동으로 리로드 되어 12번의 틱의 차이를 유지 하여 원하는 주파수를 출력합니다.□ 인터럽트 서비스 루틴을 ... 실험 조건에서 분주를 하지 않으므로 SMOD 비트를 1로 설정해 주면 분주를 사용하지 않게 됩니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 마이크로 인터럽트 LED밝기 조절
    전구에 빛은 들어오는 상태에서 좀더 어둡게 하기 위해서는 변조 주파수를 더욱 증가 시켜야 한다. 다른 PWM의 응용도 위의 경우와 같다. ... 일반적인 변조 주파수의 범위는 1kHz에서 200kHz사이 이다.◎하드웨어 컨트롤러많은 마이크로 컨트롤러가 칩상에 PWM을 내장하고 있다. ... 변조 주파수는 그 기간의 역수 이다. 러를 가능으로 설정PWM컨트롤러에 대한 세부적인 프로그램 명세가 다양하다 하더라도 기본적인 것은 위에 설명된 것과 같다.
    리포트 | 10페이지 | 1,500원 | 등록일 2012.06.26
  • 기계공학실험 보고서 - 마이크로 표면측정
    플라즈마를 만들려면 흔히 직류, 초고주파, 전자빔 등 전기적 방법을 가해 플라스마를 생성한 다음 자기장 등을 사용해 이런 상태를 유지 하도록 해야한다.- Plasma 표면개질플라즈마 ... 예로써, 의료 진단 검사 분야에서는 검사체와 시약 분주, 혼합, 세정, 분리, 검출 이라는 일련의 면역측정과정을 마이크로칩에서 이루어지게 하는 것으로 검사체의 미량화, 면역반응의 신속화 ... 한번에 전진각과 후진각을 알아낼 수 있는 조작이 단순하다는 장점이 있는 반면, stage의 높이 차이에 의한 중력오차가 발생할 수 있으며 이에 기인한 오차가 증가할 수 있다.(4)
    리포트 | 10페이지 | 1,500원 | 등록일 2017.03.09
  • 비동기 계수기 예비보고서
    분주, 시간의 측정, 주파수 또는 주기의 측정 및 공정의 제어 등 그 응용분야가 넓은 회로이다. ... [1] 비동기식 카운터의 구조와 동작원리를 이해한다.[2] 임의의 Mod를 갖는 카운터의 설계방법을 익힌다.관련이론카운터는 단순히 입력펄스의 숫자를 계수하는데 사용될 뿐만 아니라 주파수의 ... 동기식 계수기에 비해 간단히 만들 수 있는 장점이 있으나, 각 플립플롭의 전파지연시간은 종속 접속된 플립플롭의 수만큼 누적되어 최종단의 출력에 나타나므로 계수속도가 느린 단점이 있다
    리포트 | 7페이지 | 1,000원 | 등록일 2014.06.03
  • [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    ;entity clk isport(input_clk : in std_logic; --입력주파수 50MHz 를 사용하기로 했다.RST : in std_logic;CLK : out std_logic ... architecture behave of clk issignal tmp_clk : std_logic_vector(18 downto 0); --시그널 선언부begin --100Hz 분주회로를 ... elsif input_clk = '1' and input_clk'event thenif tmp_clk = "1111*************11" then -- 499,999일때 0으로 분주
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 자궁근종
    개복술에 의한 자궁근종 절제술 이외에대안으로 제시된 치료는 자궁경하 근종절제술, 복강경하 근종절제술, 고주파 자궁근종용해술, 복부 소절개수술이 있다.① 자궁경하 근종 절제술? ... 하복에서 단단하고 불규칙한 결절성 종괴가 촉지될때 자궁근종을 추정할 수 있다.② 복부촉지 : 하복부에서 단단하고 불규칙한 결절성 종괴과 촉지 될 때 자궁근종을 추정할 수 있다.③ 양수골반진찰 ... 촬영 상 연조직 종괴로 보이며 가끔 종양 내에석회 침착을 볼 수 있다.
    리포트 | 11페이지 | 3,500원 | 등록일 2014.08.01 | 수정일 2015.09.11
  • 아주대 기술창업과 경영 - 함상기 CEO 롤모델 벤치마킹 레포트
    분주한 어머니의 관심을 끌기 위해 울보로 자랐다. 소학교 시절에는 또래의 아이들을 장악하고 지휘하는 데 즐거움을 느끼면서 말썽꾸러기 골목대장이 되었다. ... 장치 부품에 있어 각각 높은 시장 점유율로, LED, 반도체, 액정 산업을 지탱하고 있다.⑵ 전자부품, 디바이스, 반도체파인 세라믹의 탁월한 전기특성을 살린 콘덴서, 압전부품, 고주파모듈 ... 또한, 산업 내에서 상대적으로 낮은 단가로 생산할 수 있는 지배적인 기업의 존재가 비효율적인 기업을 퇴출시켜 기업 간의 자원배분의 효율성을 높이는 역할을 할 수 있었다.
    리포트 | 7페이지 | 1,000원 | 등록일 2016.03.29 | 수정일 2018.07.13
  • 타이머 IC 555를 이용한단안정 멀티바이브레이터 분주회로 설계
    소자값 계산- 단안정 멀티 바이브레이터 소자값 계산- 입력 주파수- 분주 계산이므로 상태 변환을 포함하여 34분주를 한다.6. ... 이때 멀티바이브레이터는 동기신호의 주파수의 정수분의 일을 발생하는 회로로 생각할 수 있으며 이와 같은 회로를 분주회로라고 부른다.4. ... 그러다나을 거의 변화하지 않게 하는 방법으로 정확한 주기로 발진 시킬 수 있으며, 멀티바이브레이터의 주기를 동기신호의 정수배의 주기에 맞게 할 수도 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2011.10.10
  • 전전자실험 예비 Report(동기식, 비동기식카운터)
    1) 카운터는 Latch나 Flip Flop을 이용하여 입력펄스의 숫자를 계수하는 단순한 회로이다.그러나 카운터는 펄스의 계수 이외에도 주파수분주, 시간의 측정, 주파수 또는 주기의 ... 있다.동기식 카운터는 그를 구성하고 있는 모든 Flip Flop의 클럭 신호가 병렬로 연결되어 있어 한 번의 클럭 펄스의변화가 동시에 각 단을 동작시키므로 순간적 동작형의 카운터라고 할 수 ... 동기식 카운터 회로 및 특징1)동기식 카운터 회로를 보면 사용된 모든플립플롭들의 클럭단자가 하나의 공통클럭입력 CLK에 연결되어 있음을 볼 수 있다.따라서 동기식 회로에서는 모든 플립플롭들이동일한
    리포트 | 2페이지 | 1,000원 | 등록일 2012.11.12
  • 실험 18 타이머 만들기
    여기서 Clk라는 6비트 변수에 클럭 수마다 카운터를 하여 1부터 49까지 1의 신호를 Clk_1M에 출력하고, 다시 0의 신호를 출력하고 리셋 함으로써, 1/50의 분주를 수행하는 ... (주파수 분주기 모듈)module Divider( Clk_50M, Clk_1M );input Clk_50M;output Clk_1M;reg [5:0] Clk = 0;reg Clk_1M ... Sw_min(Sw_min),.Sw_sec(Sw_sec),.Led_out(Led_out));endmodule총괄적으로 4개의 입력부와 1개의 출력부를 선언하고, Wire 명령을 이용하여 주파수
    리포트 | 5페이지 | 3,000원 | 등록일 2012.01.26
  • 555를 이용단 단안정 멀티바이브레이터
    이 특성을 이용하여 트리거 단자의 구형파 입력주파수분주된 출력을 얻을 수 있다.4. 블럭도① 555를 이용한 멀티바이브레이터② 555를 이용한 분주회로5. ... 트리거는 핀2에 인가되고, 출력은 핀3에서 얻는다.③ 555를 이용한 분주회로단안정 멀티바이브레이터의 R 혹은 C 값을 조정하여 출력 펄스 폭을 조정하면 임의의 주파수 분주가 가능하다 ... 설계 순서① OR-CAD에 기본적인 단안정 멀티바이브레이터 회로를 구성한다.② OR-CAD에 단안정 멀티바이브레이터를 이용한 분주회로를 구성한다.③ 주파수는 20khz로 고정하여 vpulse
    리포트 | 8페이지 | 1,500원 | 등록일 2011.06.19
  • 555를 이용한 단안정 멀티바이브레이터 설계제안서 및 설계 결과보고서
    외부의 저항과 커패시터로 555소자의 용도 및 발진 주파수를 변경할 수 있으며 최대 의 출력을 가진다. ... 이러한 응용으로 다른 회로에 펄스 입력을 넣어줄 수 있고, 분주비를 정확하게 측정할 수 있으므로 동기화 회로에도 사용할 수 있다. ... (2) 555소자를 이용하여 단안정 멀티바이브레이터를 설계할 수 있다. (3) 555소자를 이용하여 다양한 발진회로를 구성할 수 있다.
    리포트 | 17페이지 | 3,000원 | 등록일 2012.07.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대