• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,132)
  • 리포트(1,032)
  • 시험자료(85)
  • 자기소개서(7)
  • 방송통신대(6)
  • 논문(1)
  • 서식(1)

"3비트 가산기" 검색결과 161-180 / 1,132건

  • 디지털회로실험 멀티플렉서와 디멀티플렉서 결과보고서
    마찬가지로 S 와 COUT 연결섴을 LED에 연결하여 결과를 기록했다.실험 3에서는 1의 반가산기와 2의 전가산기를 연결하여 2비트 덧셈기를 만들었다. ... 고찰- : 이번 실험에서는 MUX 두 개가 들어있는 74513 트렌지스터 한 개로 반가산기,전가산기를 만들어 보고, 그 두 트렌지스터를 연결하어 2비트 덧셈기를 만들었다. ... 표 3-8의 결과값은 전가산기의 5V신호는 첫번째 열에서 2로 인식하고, 반가산기의 5V 신호는 하나에 1로 인식하여 첫번째 열에 S1+S2의 꼴로 나타낸 것이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.16
  • FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    -bit가산기의 결선도 기호전가산기(全加算器, full adder)는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다. ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.입력이 3개 존재해서 (입력 A, 입력 B, 자리올림수 입력) 모두 대등하게 동작한다. ... in을 받아서 덧셈을 하여 carry out 과 sum을 내보내는 것MUX(multiflexer) : 입력 a,b와 sel값을 받아 sel값에 따라 a,b중 하나의 값을 출력한다.전가산기1
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 중앙처리장치
    제공하는 데이터 레지스터(Data register), 그리고 누산기와 데이터 레지스터의 자료를 연산하여 그 결과를 누산기에 저장하는 가산기(adder), 연산한 결과의 상태를 기록하여 ... 입력 자료를 보관하는 누산기(accumulater), 연산에 이용하는 자료가 2개 이상일 경우 연산에 이용되는 자료를 읽어 들여 일시적으로 저장해 두었다가 필요한 순간 가산기에 자료를 ... 연산만이 아니라 AND, OR, NOT, XOR와 같은 논리 연산을 하는 장치로서 제어장치의 지시에 따라 연산을 수행한다.이때의 논리연산장치는 연산할 데이터를 제공받아 연산하고, 가산기
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.26 | 수정일 2019.10.19
  • 시립대_전전설2_Velilog_예비리포트_1주치
    - 두 개 이상의 수를 입력하여 이들의 합을 출력하는 가산기 논리 회로와 달리 반가산기 논리 회로는두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수(C)를 산출하는 논리 ... 회로이다.(1) 반가산기 논리표ABSC*************101(2) 반가산기 실습 회로4) TTL gate의 datasheet- 참고문헌 참고3. ... .- AND게이트와 OR, NOT게이트를 이용하여 표현한 회로.(1) XOR게이트 논리표ABX = A ⊕ B000011101110(2) XOR게이트 실습 회로3) 반가산기 논리 회로
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.16
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    ◆ 목 적(1) ALU(Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 이용하여 4비트 ALU를 설계하고 시뮬레이션한다 ... 입력 Cin, A1, B1을 인가하여 얻은 출력 SUM, Cout을 확인 하여 전가산기의 동작을 하는 것을 확인한다.◆ 예비과제(1) 본 실험책의 부록을 참고하여 MyCAD 사용을 ... 산술 연산은 과 같이 가산, 감산, 증가, 감소 등의 8가지 기능을 수행한다. 이들의 기능은 S1, S0, Cin에 의해 선택된다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    메모리가 없음이전 값과 현재 값에 따라 출력이 변하기 때문에 메모리를 가지고 있음피드백기억 소자의 출력을 입력으로 연결되어 궤환(피드백)이 됨용도기본 불 연산메모리 장치종류연산 장치(가산기 ... 감산기),멀티플렉서, 디멀티플렉서,디코터(해독기) 및 인코더(부호기)RAM, 레지스터, 카운터(계수기),기타 상태 보존 시스템[표3] 조합논리회로와 순서논리회로의 비교(4) 기본플립플롭회로플립플롭회로에서 ... 회로 안에 기억 기능을 고민한 결과 플립플롭(소자)가 만들어졌다.플립플롭은 1비트 또는 1비트의 바이너리 데이터(이진 데이터)를 기억하는 메모리 소자로 전원이 공급되는 동안 상태 변화를
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • 전자전기컴퓨터설계실험2(전전설2) (1) TTL Gates Lab on Breadboard
    [사진 10] 반가산기 회로도ABSC*************101[표 3] 반가산기의 진리표2.4.2. ... 구성하여 LED를 통해 출력값을 나타낸 결과도 진리표와 일치함을 보였다.1bit의 입력 두 개를 받아 이것을 더한 결과를 얻고자 고안된 반가산기는 [사진 10]과 같이 OR 게이트와 ... 이진화 십진법, 3 초과 부호와 같은 여러 가지 수학적 연산을 수행하는 가산기를 구성할 수 있지만, 대부분의 가산기는 2진수의 합을 계산한다. 2의 보수나 1의 보수를 이용하여 음수를
    리포트 | 19페이지 | 2,000원 | 등록일 2019.10.06 | 수정일 2021.04.29
  • 시립대 전전설2 [2주차 예비] 레포트
    그러나 이 AND, OR, NOT 등을 사용한 간단한 회로에서는 더욱 하위에서의 자리올림을 처리하는 것이 불가능하므로 가산 회로로서는 불완전하여 이와 같은 회로를 반가산기라고 한다.전가산기가산기는 ... 반가산기와 더불어 컴퓨터 구조에 있어서 가장 중요한 요소 중 하나이다. ... 반가산기와 다른 점이라면 여기서는 올림수가 있기 떄문에 더욱 복잡한 출력값을 가질 수 있다는 점이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 가감산기 8bit addsub8 설계 베릴로그
    디지털시스템설계 #3 Report2018. 5. 10 제출전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... sign bit이 1인것은 모두 위와 같은 방식으로 2의보수 취했으며 음수값이라는 것을 알 수 있음.모듈로 불러낸 전가산기 소스코드//------------------------- ... ; end5: begin Cout=1; S=0; end6: begin Cout=1; S=0; end7: begin Cout=1; S=1; endendcaseendendmodule전가산기
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    이로써 Xilinx에서 프로그래밍한 전가산기가 실제 회로상에 구현한 전가산기와 동일하게 세 입력의 합과 자리 올림수를 구해낼 수 있음을 알 수 있다.3.3. 4-bit Ripple ... Adder반가산기를 Schematic으로 설계하고 Module Instance Symbol로 호출한다.호출한 반가산기를 이용하여 1-b 올림수에 해당하는 출력값이 되며 LED 2, ... Full Adder (18)2.2.3. 4-bit Ripple Carry Adder (19)3. 실험 결과 (19)3.1. AND Gate (19)3.2.
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 디코더, mux, comprator, 4비트가산기
    그리고 a와 b값을 8비트 연산으로 연결해주었습니다. 여기서 op값이 1로 지정해주어서 감산기를 만듭니다.4. ... 여기에서는 add/sub회로는 4개의 전가산기를 필요로 하므로 미리 저장해놓은 F_A코드를 불러와서 wire에 연결시켜 주었습니다. ... =(((a0&(a0^b0))'|(a1^b1))&(a1&(a1^b1))')'4bit-adder-subtractor위 식은 4bit 가감산기를 나타낸것인데 이는 하나의 회로에서 덧셈과
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 컴퓨터활용능력2급 필기요약 - 5일만 공부하면 80점 획득 가능!
    크기/용량 순서 - 자료크기 : Bit→Nibble→Byte→Word→Field→Record→File - 용량크기 : Bit→Nibble(4bit)→Byte(8Bit)→KB(1024 ... CPU(중앙처리장치) 구성 - 레지스터 : CPU에서 사용하는 임시기억장치 - 제어장치(지시, 감독) : 부호기, 프로그램카운터, 명령레지스터 - 연산장치(ALU) : 누산기, 가산기 ... 1024*1024*1024)→TB→PB - 장치속도 : Tape→Dick→CD→HDD→ROM/RAM→Cache→Register→CPU - 속도단위 : ms→μs→ns→ps→fs→as3.
    시험자료 | 11페이지 | 2,000원 | 등록일 2021.09.14
  • 컴퓨터구조 - 2020출석대체물
    , 1이면 음수를 나타낸다.④ 오버플로 비트는 산술연산에서 두 수를 가산할 때 결과를 저장할 수 있는 레지스터 자릿수가 모자랄 때 1로 세트된다.해설 : ② 캐리비트는 두 수를 가산하여 ... ① 제로비트는 두 수를 연산한 후 결과값이 0이면 1로 세트된다.② 캐리비트는 두 수를 가산하여 캐리가 발생할 때만 1로 세트된다.③ 부호비트는 최상위 비트가 0이면 양수를 나타내고 ... 내용이 연산결과저장으로 소멸되는 형식임2) 3-주소 명령어 형식 : 2진 코드화 했을 때 3개의 오퍼랜드를 나타내기위한 비트수가 다른 주소 명령어 형식보다 많이 필요하기 때문3) 0
    방송통신대 | 9페이지 | 8,000원 | 등록일 2020.11.14
  • 12주차-실험23 결과 - ADDA 변환기
    의하여를 얻을 수 있습니다.디지털 신호에서 논리 “1”의 상태일 때 각 비트의 전압 크기가 모두 같다고 하면 (V0=V1=······=Vn-1=V), OP amp의 가산기 원리에 의하여 ... D/A 변환기에서 전류 가산형 D/A 변환회로는 출력저항 RL(RL>>R)에 접속한 후 해당하는 비트의 전압을 V0, V1, V2, ···, Vn-1로 하면 Millman의 정리에 ... 또한 디지털 신호 입력이 논리 ‘1’의 상태일 때, 각 bit의 전압 크기가 모두 같다고 하면 위에서도 썼듯이이 됩니다.D/A 변환기의 입출력 인터페이스는 CPU로부터 1 바이트씩
    리포트 | 4페이지 | 1,500원 | 등록일 2020.10.02
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서8
    우리는 세 번째 논리회로실험에서 가산기를 다뤘었다. 이 때 나는 결과보고서에 4-bit adder의 오버플로우를 방지하기 위해 4 같다. ... 내부장치1) 가산기(Adder) : 산술연산을 수행하는 회로, 두 개 이상의 수의 합을 계산하는 논리 회로.2) 보수기(Complementer) : 뺄셈을 사용할 때 사용하는 보수를 ... 즉 마지막 LED에서 Shift하면 마지막 비트의 신호가 사라지는 것 이 아니라, 오버플로우 현상이 일어나게 되어 맨 왼쪽 LED가 켜지게 된다.SHIFT PULSEL0L1L2L3L40LLDDD1DLLDD2DDLLD3DDDLL4LDDDL5LLDDD6DLLDD7DDLLD
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 조합논리회로와 순서논리회로의 종류 및 특징(회로) 조사
    -조합 논리회로 종류조합 논리회로의 기본이 되는 가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서, 감산기 등을 알아보자1)가산기: 한 비트 2진수(A,B)와 한 자리 아래의 ... 자리올림수(C in)을 모두 더해 해당 자리의 합(S)과 자리올림수 (C out)를 구한다 이것이 여러 개 합쳐지면서 여러 비트의 연산을 할 수 있게 된다(병렬가산기)2)비교기: ... (g3,x’z), 최종적으로 g2 와 g3가 OR게이트로 이어져 있으므로 이 회로도에 대한 노리식은 F= x*y+x*z이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.20
  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    불가능하다. 1-bit half adder HYPERLINK \l "주석6"[6](6) 전가산기가산기의 형태에서 입력이 한 개 더 추가된 형태이다.이 모델은 간단하게 3개의 반가산기 ... LED 데이터 시트(5) 반가산기AND와 XOR을 활용해 1비트 가산이 가능한 형태이다.하지만, 이 반가산기 모델은 올림(전가산기의 Cin 부분)의 연산이 불가능해서 대수의 연산이 ... 0/0 -> 0- 0/1 -> 1- 1/0 -> 1- 1/1 -> 1Results of Lab 4.1) 반가산기 회로 구현- 반가산기 진리표ABC (green led)S(red led
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [응용 전기전자 실험] D / A 변환기 예비보고서
    입력된 비트 수이며 Vn은 디지털 전압 레벨을 나타낸다.)3. ... 전압 가산형 D/A 변환기 실험(4.4.1)의 1)을 Pspice를 이용하여 진행한 후, 표를 기입하시오.D3D2D1D0Y1Y2D3D2D1D0Y1Y20000-29mv-28mv1000 ... 따라 다음 식과 같은 값을 가진다.VA`=` {1} over {2 ^{N- pi}} * {1} over {3} *Vn (N은 2진 정보(ABCD)의 비트 수이고 n은 2진 정보 1이
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.12
  • 6주차 결과 - 반가산기와 전가산기
    VS:0: 0.877 mVC:1: 5.0296 VS:1: 5.0193 VC:1: 5.0123 VABCSC0000000110010100110110010101011100111111(3) ... n}:1: 5.0158 VD:1: 5.0144 VXYB _{eqalign{n-1}}B_{ n}D0000000111010110111010001101001100011111(5) 2 bit ... 그런데 실험을 마치고 진리표를 보니 전가산기의 진리표와 일치했고 나중에 책에 있는 전가산기의 회로에서 반가산기의 기호를 반가산기 회로로 바꾸어 비교해보니 동일한 회로였습니다.세 번째
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.01
  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    전자계산기 구조과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정1. ... 더해준 후에 생긴 캐리는 다음 4비트 그룹에 더해준다.여기서 중요한 것은 4-비트 그룹으로 쪼개서 각각의 경우에 대해 위의 규칙을 대입해야 한다는 것이다.3. ... BCD(Binary-Coded-Decimal code) 구현 방법BCD 코드는 10진수의 각 비트를 4비트의 2진수로 나타낸다.1010, 1011, 1100, 1101, 1110과
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:32 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기