• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(433)
  • 리포트(418)
  • 시험자료(8)
  • 자기소개서(5)
  • 방송통신대(2)

"4-bit Adder 회로 설계" 검색결과 161-180 / 433건

  • 서울시립대학교 전자전기컴퓨터설계실험2 제03주 Lab02 Post
    Half-Adder Symbol을 사용하여 1-bit Full Adder를 Schematic으로 설계한다.1-bit Full Adder Schematic위의 Half-Adder Symbol을 ... VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... .1-bit Full Adder설계하기 위한 Half-Adder Symbol을 만들기 위해, Half-Adder Schematic을 그려준다.Half-Adder Symbol위의
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [결과레포트]
    Essential Backgr4비트 가산기 : 앞의 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자.그림 ... Studies from this Lab이전 실험에서는 4-bits Ripple Carry Full Adder설계하여 확인하는 시간을 가졌지만 이번에는 이와 다르게 4-bits Ripple ... Purpose of this Lab연산회로를 이해하고 학습한다연산회로를 직접 설계하며 구동을 확인한다.반가산기, 전가산기, 4비트 가산기를 설계한다..학습한 내용을 응용하여 감산기를
    리포트 | 31페이지 | 1,000원 | 등록일 2017.10.19
  • 서울시립대 전자전기컴퓨터설계실험2 제04주 Lab03 Post
    HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX연구소. ... Post-lab Report전자전기컴퓨터설계실험Ⅱ4주차. ... 비교 결과, 1-bit Full-Adder가 정상 작동함을 확인할 수 있었다.4-bit Full-Adder ProgrammingTruth Table과의 비교 결과, 4-bit Full-Adder
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 인하대학교 디지털시스템설계 project (verilog)24bit Booth multiplier design
    본 프로젝트에서는 3 to 2CSA 혹은 4 to 2CSA 별로 묶어서 이를 tree형태로 표현할 것이다- Carry Lookahead Adder(CLA) : 다수bit의 덧셈연산에서 ... 본 프로젝트에서 사용할 이론은 Booth's encoder(Radix-4), Wallace tree, Carry Save Array(이하 CSA), Carry Lookahead Adder ... (이하 CLA), Pipeline이다. 3 to 2 CSA만을 이용한 최적화 되지 않은 설계와 3 to 2/4 to 2 CSA를 섞어 쓴 최적화된 설계를 비교할 것이다.
    리포트 | 53페이지 | 4,500원 | 등록일 2017.01.06
  • 디지털공학실험 07. 직렬덧셈기 결과
    [회로][VHDL Module Code]x를 4비트로 잡고 0101 이라는 초기값을 저장하였다.y를 4비트로 잡고 0110 이라는 초기값을 저장하였다.ci는 0이라고 설정하였다.xout ... .현재 상태가 2일때-> 3으로 shift된다.4.현재 상태가 3일때-> 0으로 shift된다. ... < 순차 회로 직렬 가산기 With Accumulator 결과보고서>실험serial adder는 2개의 시프트 레지스터가 Full Adder로 입력하여 더해진 출력값을 다시 1개의
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29
  • Lab#04 Combinational Logic Design 1
    ) 4bit Adder4bit Adder는 1bit Full Adder가 4개가 합쳐진 형태로, 각 Full Adder의 Carry값을 다음 Full Adder의 입력값으로 받아 최종적으로 ... Inlab4. 4bit Comparator Logic designVerilog codeUcf code4bit Comparator는 1bit Comparator과 같이 설계를 하였는데 ... 위의 실험 결과에서도 XOR를 사용하여 Schematic으로 Subtractor를 얻을 수 있었다.Inlab2에서는 4bit Subtractor를 설계하였다. 4bit Subtractor에서는
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • 실험 2. CMOS 회로의 전기적 특성 예비보고서
    당연히 A와B의 관계는 A에서 B를 빼는것이라 A-B라 이해하면된다.전감산기2비트이상의 2진수의 뺄셈을 하지못하는 반감산기에 대안으로 전감산기가 나왔는데, 가산기와 비슷한논리로 전감산기에는 ... 전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로설계되어서 다양한 기능을 가지게 되었다. ... OR GATE4.
    리포트 | 11페이지 | 1,000원 | 등록일 2017.12.07
  • 결과보고서 - Logic Gate
    Generator와 Oscilloscope를 이용하여 Full Adder의 연산을 확인한다.4. ... 브레드 보드에 접속 후, 접지 단자와 5V 출력 단자를 연결한다.3) Function Generator와 Oscilloscope를 이용하여 NOT Gate의 연산을 확인한다.○ 1-bit ... 전기전자전파공학부ㅇㅇㅇㅇㅇㅇㅇㅇㅇ디지털 시스템 설계 및 실험결 과 보 고 서 #11. 학습목표?Logic Gate에 대해 학습한다.?
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.08
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    논리회로설계 실험 결과보고서 #5실험 5. 조합회로 설계 - 비교기, MUX, ALU1. ... 실험 목표4비트 크기의 이진수 A, B와 2비트 크기의 선택 신호 S를 입력으로 받아 5비트 이진수 Y를 출력하는 산술논리연산장치(ALU)의 동작을 이해하고 설계한다. ... Package 선언함수 A6_JSW_CHS_Adder는 4비트 크기의 이진수 A_in[3:0]와 B_in[3:0]을 입력으로 받아 덧셈 후 결과값인 5비트 크기의 비트열을 출력으로
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    실험목적① BCD code, Seven-segment display에 대한 이론 및 회로② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit ... Adder설계 방법을 익힌다.③ Seven-segment display를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다회로부품Field Programmable ... 1Preliminary report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    실험2 Full Adder는 Half Adder 2개를 연결하여 3bit의 연산을 가능하도록 설계하였다. ... Referrence18IntroductionPurpose of this labXilinx ISE의 설계방법을 익히고, Scematic설계를 통해 논리회로를 구현한다.Essential ... 이용한 2-bit And Gate 작성Behabioral Stimulation을 이용한 결과값 관찰Result of the lab실험1.
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • 결과보고서 - 4bit ALU
    공학부 ㅇㅇㅇ 학번 :실험조 : 7조 실험일 :실험제목4-Bit ALU구현실험목표4-bit ALU 디지털 회로설계한다.실험 결과하위 모듈을 이용하여 16 to 1 Mux을 만들기 ... w[0]};assign carryout=w[4];endmodule비트 수가 늘어나면서 carryin을 1-bit Full Adder처럼 쉽게 처리할 수 없게 되었다. ... , s1; output [4:0] y;// four 5-bit inputs, one 5-bit output, two 1-bit selectorswire [4:0] w0, w1;mux2to1
    리포트 | 7페이지 | 2,000원 | 등록일 2017.11.08
  • 디지털공학실험 07. 직렬덧셈기 예비
    레지스터는 X와 Y에 4 Bit 의 데이터를 저장하는데 사용된다.각 시프트 레지스터의 왼쪽 비트(하얀색 상자)에는 Sh(시프트), SI(직렬 입력)및 클럭이 있고SH=1이고,클럭 ... < 순차 회로 직렬 가산기 With Accumulator 예비보고서>실험목적순차 회로를 통한 직렬 가산기를 설계하는 법을 학습한다.또 직렬 가산기를 설계하고 상태표와 상태그래프로 나타내어 ... 분석한다.이론.직렬가산기의 설계직렬가산기의 회로는 시프트 레지스터 2개에 입력 X, Y를 넣고,레지스터 오른쪽으로 시프트시켜 FullAdder로 입력되어 sum과 carry가 나타나도록
    리포트 | 2페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 디지털 시스템 실험 Add, Subtractor, Multiplier, Divider 설계 예비보고서
    Multiplier4bit x 3bit Multiplier왼쪽 회로도의 계산식은 위와 같다.위의 Multiplier는 4비트와 3비트의 값을 곱하는 Multiplier이다. ... (K-bit)와 (J-bit)의 곱에서 (K x J)의 AND 게이트와 (J - 1)개의 K-bit Adder가 필요하다. ... 출력 S, C에 대한 K-map이와 같은 방식으로 Full Adder의 출력 S, C를 구할 수 있다.3. 4bit AdderFull Adder 4개를 연결하여 4bit Adder
    리포트 | 12페이지 | 1,000원 | 등록일 2016.04.08
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder설계하시오.전가산기 하나가 1-bit의 연산을 의미하므로 새로운 schematic ... Half Adder를 Module Instance Symbol로 호출하고1-bit Full Adder설계하시오.1. ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • <컴퓨터 구조 및 설계>4장 프로세서(Data path & Mapping Control) 요약정리
    (reduce adders & memory, increase number of registers &muxes)Instruction register(IR) -> 현재 명령어를 유지, ... 기능 필드 값에 따라서 5가지 연산중 하나를 수행명령어 기능 필드와 2비트 제어필드(ALUOp)를 입력으로 갖는 제어 유닛을 만들어서 4비트 ALU 제어 입력을 발생.=> ALUOp값 ... 부호있는 변위 필드를 더하여 메모리 주소를 계산한다. => Use ALU, but sign-extend offset그 외에도 명령어의 16비트 변위 필드 값을 32비트 부호 있는
    리포트 | 23페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.08.13
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... [실험 3] 2-bit 2 * 1 Mux 설계Add SourceSource Code모듈을 지정해주고, 2개의 2-bit Input A, B와, 1-bit Input S을 선언해준다. ... CodeInput A, B, C, D 각각 1-bit Variable로 선언하기보다, 4-bit 변수로 선언하는 것이 코드 간소화에 도움이 된다.Input S가 00일 경우 Output
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 디지털실험 - 실험 3. 2비트 전가산기 예비
    회로를 구성하고 진리표를 작성하라.4) 다음은 전감산기 회로이다. 회로를 구성하여 진리표를 작성하라.5) 2 bit 병렬 2진가산기를 구성하여 실험하고 진리표를 작성하라.4. ... *예비보고서*실험주제실험 3. 2비트 전가산기조13조1. ... 따라서 exclusive-OR 게이트는 때때로 1/4가산기라 불린다. exclusive-OR 동작은 또한 2 덧셈법(modulo-2 addition)이라고도 불린다.두 개의 2진수
    리포트 | 9페이지 | 1,500원 | 등록일 2017.04.02
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder설계하시오.전가산기 하나가 1-bit의 연산을 의미하므로 새로운 schematic ... Half Adder를 Module Instance Symbol로 호출하고1-bit Full Adder설계하시오.1. ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 실험 3. 가산기와 감산기(Adder & Subtractor)
    또한 이 두 방법을 이용하여 4-bit serial adder4-bit parallel adder를 각각 구성하시오.1) 4-bit parallel adder① 논리회로 설계② ... 필요하므로 회로가 복잡하다.2) 4-bit serial adder① 논리회로 설계② 특징- 시프트 레지스터 2개에 입력 A, B를 넣어 LSB(least significant bit ... (6) 전가산기를 이용하여 전감산기를 설계하고 위의 문제 (4)에서 구성한 회로와 비교하시오.- 위의 진리표에서 확인할 수 있었듯이 전가산기의 합과 전감산기의 차는 일치한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대