• 통큰쿠폰이벤트-통합
  • 통합검색(65,206)
  • 리포트(59,522)
  • 시험자료(2,891)
  • ppt테마(888)
  • 방송통신대(799)
  • 자기소개서(510)
  • 서식(307)
  • 논문(254)
  • 이력서(27)
  • 노하우(7)
  • 표지/속지(1)

"4B5T" 검색결과 161-180 / 65,206건

  • 2022년 2학기 방송통신대 표본조사론 출석수업대체과제물)1. (10점) 어떤 제조공장에서 하루에 생산된 제품의 평균무게를 조사하고자 한다 외 2문항
    } )} (p123, 식 4-5)위 식에서z _{alpha /2} sqrt {hat{V} ( bar{y _{st}} )} 를 주어진 신뢰도에서 추정량에 대한 오차의 한계라고 한다.모평균 ... (p122, 식 4-1)hat{V} ( bar{y} _{st} )= {1} over {N ^{2}} sum _{h=1} ^{L} N _{h} ^{2} CDOT {N _{h} -n _ ... (1)에서 설명한 신뢰수준100(1- alpha )%일 때 모평균의 추정값에 대한 오차의 한계를B라고 하면B`=`z _{alpha /2} sqrt {hat{V} ( bar{y} )}
    방송통신대 | 6페이지 | 10,000원 | 등록일 2022.10.18 | 수정일 2023.12.03
  • 계량경제 - 회귀분석 (hwp)
    모형의 설정TEMP =B_{ 0}+B_{ 1}FUEL+B_{ 2}CAR+B_{ 3}PLASTIC+B_{ 4}FERTILIZER+B_{ 5}RICE+eTEMP = 지구 평균 기온 ( ... 자유도( 31-5-1)=25인 t-분포의 임계값 tc=2.060 이다.-beta _{ 4}의 t 값은 ? 4.57087 이다. ... - 대립가설 설정 H1;beta _{ 5}≠0 ⇒ 비료 생산량은 지구의 온도에 영향을 준다.b_{ 5}~t1)se(b_{ 6})- 귀무가설이 참이라고 가정할 경우의 검정통계량은 t=
    리포트 | 17페이지 | 3,000원 | 등록일 2023.03.27
  • [간호학과 생리학] 생리학개론 혈액과 면역 정리
    5) 주조직 적합 복합체 (majottp://ko.wikipedia.org/wiki/%EB%8B%A8%EB%B0%B1%EC%A7%88" 단백질의 총칭- 도움T세포/세포독성T세포/기억T세포 ... 세포 수용체4) 혈액내 분포 (%림프관)10 ~ 1550 ~ 605) 표면 표지분자CD19CD3*도움T 림프구(Helper T cell)- CD4 T cell- MHC class ... (2) 도움T세포의 기능- 도움T세포는 CD4+세포로부터 발달- 도움T세포에서 분비되는 IL-2(interleukin-2)는 T세포증식에 가장 중요한 인자(3) 세포독성T세포의 기능
    리포트 | 13페이지 | 2,500원 | 등록일 2023.08.30
  • 동국대 열전대 레포트
    Lists/b14/Attachments/5/%EA%B8%B0%EA%B3%84%EA%B3%B5%ED%95%99%EC%8B%A4%ED%97%98%20%EA%B0%95%EC%9D%98%EC ... %9E%90%EB%A3%8C-2015.pdf;1;0;0;http://home.sogang.ac.kr/sites/thermal/menu4/Lists/b14/Attachments/5/% ... EA%B8%B0%EA%B3%84%EA%B3%B5%ED%95%99%EC%8B%A4%ED%97%98%20%EA%B0%95%EC%9D%98%EC%9E%90%EB%A3%8C-2015.pdfHWPHYPERLINK_TYPE_URLHWPHYPERLINK_TARGET_BOOKMARKHWPHYPERLINK_JUMP_CURRENTTABhttp
    리포트 | 14페이지 | 2,500원 | 등록일 2023.06.10
  • [외국어로서의한국어교육실습] 모의수업 실습 word 지도안
    B: 네, 로라 씨는 자전거를 탈 수 있어요.T: 잘 읽었어요. 4번, C님은 가를, D님은 나를 읽어주세요.C: 여기에서 낚시를 할 수 있어요? ... 여러분 여기 ‘제주도’와 ‘홍콩’이 있어요.A님과 B님은 제주도에 가면 무엇을 할 수 있는지, 또 무엇을 할 수지 이야기해보아요.(5분 대화연습)T: 여러분 다했어요? ... D: 낚시를 할 수 없어요.T: 네 맞아요. 여기에서는 낚시를 할 수 없어요.우리 옆에 있는 친구와 같이 문장을 만들어 대화해보세요.(5분 대화 연습)T: 자, 여러분 다했어요?
    리포트 | 10페이지 | 3,000원 | 등록일 2023.07.05 | 수정일 2023.08.23
  • 2020-2학기 보건통계학 기말고사(온라인과제물)
    변수남여P-value연령, mean(SD)48.4(10.0)49.8(11.1)ABMI(㎏/㎡), mean(SD)20.4(5.3)21.8(5.9)B① 독립비교 t검정② 쌍체비교 t검정③ ... 따라서 답은 ②번이다.①분산분석 및 ④t검정 은 독립변수가 질적변수인 경우이다.③카이제곱검정 은 독립변수, 종속변수 모두 질적변수인 경우로, B와 반대이다.5. ... ① 분산분석② 상관분석, 단순회귀분석③ 카이제곱검정④ t검정해설 → B는 독립변수 및 종속변수가 모두 양적변수인 경우로, 양적변수 간의 연관성을 분석하는 것은 상관분석과 회귀분석이다
    방송통신대 | 14페이지 | 6,000원 | 등록일 2020.11.16 | 수정일 2020.11.26
  • 금오공대 기계공학과 기계요소설계 텀프로젝트
    F _{t}= f _{v} ` sigma _{b} `8m ^{2} `Y=(0.4989)(294)(8)(2) {} ^{2}(0.4)=1877 N 이므로 틀린 값이다. m=2.5로 가정해보자 ... 이므로 F _{t}= f _{v} ` sigma _{b} `8m ^{2} `Y=(0.4989)(294)(8)(2.5) {} ^{2}(0.3775)=2768 N 이므로 틀린 값이다. ... 이다. 3) 3D CAD 를 이용한 피니언 기어 형상 설계 4) 3D CAD 를 이용한 전체 기어열 조립 형상 5) 기어 설계 결과 요약 표(보고서 가장 마지막 부분에 위치) 학번
    리포트 | 8페이지 | 10,000원 | 등록일 2024.06.28 | 수정일 2024.07.10
  • 기초간호과학-면역에 과민반응
    면역글로불린은 Ig G, Ig M, Ig A, Ig D, Ig E의 5가지 종류로 나뉜다.② T-림프구흉선(Thymus)에서 성숙된다. ... 보조 T-림프구는 B-림프구에 작용하여 B-림프구가 분열하고 분화하여 항체를 만들도록 돕는 일을 한다. ... 이러한 B-림프구의 증식을 조절하거나 보조 T-림프구의 기능을 억제할 목적으로 일어난다.③ 자연살해세포과립성 림프구의 일종으로 세포 표면에 표식이 없다는 점에서 T-림프구 및 B-림프구와
    방송통신대 | 12페이지 | 3,000원 | 등록일 2022.04.07 | 수정일 2024.02.11
  • 방송통신대학교(방통대) 통계학개론 과제 (30점/30점)
    b 12 3 93 c 5 2 34 d 4 1 35 e 6 4 26 f 3 2 1>> #정규성 가정 확인>> qqnorm(acc$diff)> qqline(acc$diff, col=2) ... Qu.:140.0 1st Qu.:163.8Median :175.0 Median :175.0Mean :175.1 Mean :173.73rd Qu.:196.5 3rd Qu.:181.8Max ... > t.test(acc$before, acc$after, paired=T)Paired t-testdata: acc$before and acc$aftert = 3.1154, df =
    방송통신대 | 8페이지 | 3,000원 | 등록일 2020.04.10
  • 20년도 상반기 코레일 기계전공시험 키워드정리입니다.
    1.36+539*4.18/373 엔트로피 변화량은 Q/T 이고, cal를 j 로 바꾸므로 4.18 곱해줘야함.14. Cu-Zn 5~20%의 금속은?-> 톰벨15. ... 축간거리 구하기작은기어의 잇수(z1)=30, v1:v2 5:1 이고, 모듈이 주어 질시, 축간거리를 구하여라4. ... 10. b, h를 h, b로 바꾸면 어떻게 되는가?-> 단면계수주고 응력이 몇배차이나는지 구하는문제 - 단면계수가 커지면 응력이작아져서 더 많은하중을 견딜 수 있다11.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.11.20
  • 방송대 1학기 기말과제 영어학의 이해 공통형 학번 끝자리9
    중요한 부분 4개 정도를 빨간색으로 표시할 것.)학번 끝자리가 5, 9 접두사 mis-, anti-5. ... 키워드 4개 정도를 빨간 색으로 표시할 것.)1. 제시된 음이 어떠한 과정을 통해서 조음(생성)되는지 기술하시오.학번 끝자리가 5, 9 - /? ... (가장 중요한 부분 5군데 정도를 빨간색으로 표시할 것.)4. 제시된 접두사를 포함하는 어휘를 사전에서 찾아 각 단어들의 의미를 풀이하시오. (교재에 있지 않은 어휘를 찾을 것.
    방송통신대 | 4페이지 | 4,000원 | 등록일 2021.01.02
  • (기초거시경제론) 투자와 순수출의 결정요인을 각각 열거하고, 열거한 요인들이 투자와 순수출에 어떻게 영향을 주는지 서술
    /rm triangle T=rm -MPC over 1-MPC(부호를 고려) 가 된다.5)균형재정승수의 도출과정세금을 거두어 그만큼을 정부지출로 지출하는 경우(균형예산), 정부지출과 ... 1 + bar I sub 0 + bar G sub 1 )… (b)균형산출량의 변화 =rm triangle Y sub E = 1 over 1-b [-b triangle T+ triangle ... 세금이 변화하기 전의 상태를 0으로 표시하면,0시점에서의 균형산출량 :rm Y sub E0 = 1 over 1-b (a-b bar T sub 0 + bar I sub 0 + bar
    방송통신대 | 8페이지 | 2,000원 | 등록일 2020.08.05
  • [마이크로컨트롤러]외부인터럽트
    INT3_vect 외부 인터럽트 3(INT3) 5 INT4_vect 외부 인터럽트 4(INT4) 6 INT5_vect 외부 인터럽트 5(INT5) 7 INT6_vect 외부 인터럽트 ... /PG2=0, 3 rd FND PORTG=0b00000111; PORTC=digit[t_10ms]; _ delay_ms (3); //PG3=0, 4 th FND } void main ... =0b00001110; PORT C =digit[t_10s]; _ delay_ms (2); //PG0=0,1 st FND PORTG=0b00001101; PORTC=digit[t_1s
    리포트 | 13페이지 | 1,500원 | 등록일 2022.10.10
  • 방송통신대학교 2023년 2학기 기초거시경제론 중간과제물
    조세승수는 △Y/△T=-b/(1-b)로 나타낼 수 있다. 이는 -0.75/0.25이며 이를 계산하면 ? ... 균형국민소득증가분을 △Y, 정부지출 증가분을 △G, 투자지출 증가분을 △I, 조세수입 증가분을 △T, 한계소비성향을 b라고 표시하면 투자승수는 1/(1-b)이다. b는 소비의 증가분을 ... 즉 투자승수는 1/1-0.75=4가 된다. 정부지출승수는 정부지출이 추가적으로 1원만큼 늘어날 경우 유발되는 국내총생산의 증가분으로 1/(1-b)으로 표시할 수 있다.
    방송통신대 | 6페이지 | 3,500원 | 등록일 2023.09.04
  • [한양대 기계공학부] 동역학제어실험 실험1 진동 신호의 시간 및 주파수 영역 해석 A+ 자료
    tdt} (1.4 & 1.5)x(t)=2 int _{0} ^{INF } {A( omega )cos omega td omega +2 int _{0} ^{INF } {B( omega ) ... 5 _{}b _{k} =0`(k=2,3,4...)따라서 Voltage 값이 첫 번째 피크에서 5V 를 기록한뒤 다음 피크 부터는 0으로 나타날 것을 알 수 있다.주파수 영역에서의 Frequency ... 시간 영역과 주파수 영역 ([그림 4]의 (a)와 (b))을 관찰한다.④ Square wave 의 입력을 주고 주파수 분석기에서 시간 영역과 주파수 영역을 관찰한 다.4.
    리포트 | 13페이지 | 3,000원 | 등록일 2023.01.07
  • 가장 많이 활용했었던 디자인 요소 엄선!! (엠블럼, 픽토그램, 아이콘, 그림 등등 좋은 것만 추렸습니다.)
    M N B V C XModern Pictograms 1 2 3 4 5 6 7 8 9 0 - = \ ] [ p o I u y t r e w q a s d f g h j k l ; ‘ ... Vector IconsVector Icons (2)1 2 3 4 5 6 7 8 9 0 - = \ ] [ p o I u y t r e w q a s d f g h j k l ; ‘ / ... M N B V C X Z Entypo Font IconsSosa Font Icons / 0 1 2 3 4 5 6 7 8 9 : ; = @ A E F G H I J K L M V W
    ppt테마 | 6페이지 | 3,000원 | 등록일 2020.11.07 | 수정일 2021.05.11
  • R에 내장되어 있는 예제 데이터인 mtcars를 이용하여 다음을 수행하고, 분석한 R명령어, 결과, 결과에 대한 해석을 제출하세요.
    변수남여P-value연령,mean(SD)48.4(10.0)49.8(12.2)ABMI(kg/㎡),mean(SD)20.4(5.3)21.8%.9)B① 독립비교 t검정 ② 쌍체비교 t검정 ... 포함됨 으로(8.10〉4.76)으로〕 결과에 유의한 영향을 미친다-옳은 해석임③ 요인 B는 유의수준 0.05일 때〔F비(F_{ 0})가 기각역에 포함되지 않으므로(0.13〈5.14 ... )〕 결과에 유의한 영향을 미치지 않는다-옳은 해석임④ 요인 B는 유의수준 0.01일 때〔F비(F_{ 0})가 기각역에 포함되지 않으므로(0.13〈5.14)〕 결과에 유의한 영향을
    방송통신대 | 10페이지 | 9,000원 | 등록일 2022.02.09
  • 한국방송통신대 2020 기말시험 데이터마이닝
    범주5. ... } ^{B} alpha _{b} I LEFT ( T _{b} (x)=j RIGHT ) ,`j=1,...,J RIGHT }단,x는 예측하고자 하는 관찰치의 입력변수 벡터값이고 j는 목표변수의 ... 아래 그림은 현재의 노드 t에서 2개의 입력변수 X1, X2와 목표변수와의 관계를 나타낸 것이다.
    방송통신대 | 12페이지 | 6,900원 | 등록일 2021.04.01 | 수정일 2021.05.23
  • 서강대학교 고급전자회로실험 8주차 예비/결과레포트 (A+자료)
    *2*t)+cos(2*pi*freq*3*t)+cos(2*pi*freq*4*t);end실험 3실험 2에서 제작된 기저대역, 2차, 3차, 4차 하모닉 성분들을 합성하여 곡을 제작하시오 ... 1/f:time_d];y = cos(2*pi*freq*1*t)+cos(2*pi*freq*2*t)+cos(2*pi*freq*3*t)+cos(2*pi*freq*4*t);end그 후 아래의 ... ];y = cos(2*pi*freq*1*t)+cos(2*pi*freq*2*t)+cos(2*pi*freq*3*t)+cos(2*pi*freq*4*t);end하모닉 성분의 차수에 따른 신호를
    리포트 | 22페이지 | 1,000원 | 등록일 2024.09.02
  • 세아제강_솔루션마케팅_자소서
    답을찾는 과정에서 새벽4시에 퇴근 한 적이 있습니다. ... B2B제품 특성상 원재료 가격 상승에 따라 판매가격도 지난 3년간 상승하여 높은 영업이익을 기록하였으나, 최근 부동산 PF대출부실과 중국 건설경기악화, 원자재 가격하락 등이 발생한 ... 기획안추진을 위해 T커머스제품과 음처기의 CF를 분석하였고, T커머스축소에 따른 비용절감분을 중소제조사에 일시정산하였습니다.이에 따라, 음처기를 홈쇼핑판매로 약 10억원 매출기록하였습니다.당시
    자기소개서 | 3페이지 | 5,000원 | 등록일 2024.09.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대