• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,287)
  • 리포트(2,104)
  • 시험자료(102)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7 세그먼트" 검색결과 161-180 / 2,287건

  • 비즈니스모델-카카오, 네이버 사례
    이 핵심자원은 물리적인 것일 수도 있고, 재무적인 것일 수도 있고, 지적인 영역이나 인적자원의 영역에 속할 수도 있다.(7) 핵심활동기업이 비즈니스를 제대로 영위해나가기 위해 꼭 해야 ... 결국 사업에 필요한 다양한 구성요소들이 고객세그먼트에 의해 변화하게 되는 것이다.(2) 가치제안특정한 고객 세그먼트가 필요로 하는 가치를 창조하기 위한 제품이나 서비스의 조합을 의미한다 ... 주요한 사업요소 9가지를 표로 정리한 것으로 가운데 가치제안을 기준으로 왼쪽에는 핵심파트너, 핵심활동, 핵심자원이 위치하고 오른쪽에는 고객관계 유통경로 세분고객이 위치한다.(1) 고객세그먼트고객세그먼트
    리포트 | 7페이지 | 4,000원 | 등록일 2022.11.08
  • 네트워크1_OSI 7계층의 각 계층에 대해 정리하고, 하나의 메시지가 송신자에서 수신자까지의 이동되는 원리를 기술하시오.
    다음에 최종 수신자에게 7가지 계층 위로 이동해야 한다.이제 데이터는 발신자의 전송 계층으로 넘어가서 세그먼트로 나눠지며, 이 세그먼트는 네트워크 계층에서 패킷으로 다시 나눠지고, ... 네트워크1OSI 7계층의 각 계층에 대해 정리하고, 하나의 메시지가 송신자에서 수신자까지의 이동되는 원리를 기술하시오.목 차1.OSI 7계층2.하나의 메시지가 송신자에서 수신자에게 ... OSI 7계층OSI 모델은 표준 프로토콜을 사용해서 다양한 통신 시스템이 통신할 수 있게 국제표준화기구에서 만든 모델이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.08.02
  • 컴퓨터구조 이론 및 실습 [아두이노 논리게이트 및 조합논리회로 실습]
    1] 논리 게이트란? 논리 회로 : 부울 대수를 이용하여 1개 이상의 논리 입력을 일정한 논리 연산에 의해 1개의 논리 출력을 얻는 회로논리 게이트 : 논리 회로에서 뜻하는 대로 게이트 종류에 따라 게이트에 입력한 값에 따라 출력하는 값..
    리포트 | 49페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 2
    실험 2의 실험방법과 실험 3의 실험방법에 대하여 비교하여 설명하시오.- 실험 2는 7-세그먼트에 직접 점퍼선을 연결하여, 7-세그먼트의 각 입력단에 해당하는 부분의 점퍼선을 연결했다가 ... 뺐다가를 반복하여, 7- 세그먼트의 불빛으로 숫자의 형태를 만든 반면에,- 실험 3은 74LS47 소자를 이용하여, 전압이 인가되면 1, 인가되지 않으면 0으로 2진수 0000부터 ... 디지털회로실험및설계 결과 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 국제경영학 - 현대기아자동차그룹이 글로벌 자동차 판매대수 3위를 달성한 것의 배경과 원동력에 대해 설명하
    이는 전년 대비 약 7% 증가한 수치로, SUV 시장에서의 현대차의 선두적인 위치를 보여줍니다. ... 대 판매량 기록약 7% 증가친환경차전 세계적으로 32만 대 이상 판매량 기록약 20% 이상 성장2. ... 특히, SUV 및 친환경차 세그먼트에 대한 집중적인 전략이 이루어졌습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.06.24
  • (중소기업창업론) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는
    다가가야 하는지, 어떤 기준의 통합으로 채널이 구서오대 있는지, 어떤 채널이 가장 효과적이고 가장 비용 효율적인지, 채널 및 고객을 위한 업무가 제대로 통합돼 있는지에 대한 질문이다.(7) ... 고객 세그먼트고객 세그먼트의 의미는 기업이 사업을 수행하는 대상을 세부적으로 구분한 것으로 해당고객이 지닌 요구가 전혀 다른 서비스 혹은 상품을 필요로 하는 것을 뜻한다.해당 고객에게 ... RWW 평가와의 연관성 (가치제안, 고객 세그먼트, 핵심 자원, 비용구조 및 수익원)Ⅲ. 결론Ⅰ.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2022.07.18
  • 충북대학교 전자공학부 전자회로실험II 결과보고서 PWM을 이용한 DC 모터 속도 제어
    실험에 사용한 원판이 포토인터럽트 사이를 통과하게 되면서 7세그먼트에 속도가 카운트가 된다. ... 비고 및 고찰이번 실험은 DC 모터 속도 제어를 하고 Counting해서 7-세그먼트로 확인하는 실험이었다. ... DC 모터의 경우 아주 잘 돌아갔지만 7-세그먼트에 그 값이 안 나왔는데 슈미트-트리거 회로 칩이 고장난 것이어서 잘 돌아가는 조의 칩으로 바꾸니 성공적으로 회로가 잘 돌아갔다.속도가
    리포트 | 3페이지 | 2,000원 | 등록일 2020.09.30
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    v=oIQw_bOCLNk:7세그먼트 디코더 구동방식, 종류 참고 ... 실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display): 숫자표시기라고 하며 일곱 개의 발광다이오드(LED: Light-Emitting Diode)로 이루어진 일곱
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 아두이노(Arduino) 프로젝트 - 타이머와 서보모터를 이용한 물고기 먹이 자동 급여 장치
    시간은 7세그먼트 어레이를 이용한 타이머에 표시한다.③ 타이머는 Pause/Start 및 Reset이 가능하다. ... 설계 목표 (10점) 사용 소자:서보모터, 7세그먼트 어레이, 키패드, 피에조 스피커, LED① 사용자가 설정한 시간마다 서보모터가 돌아가 물고기 먹이를 주도록 한다.② 사용자가 설정한 ... 가변저항 + LED) + 온도센서 온도변화에 따라 자동으로 동작하는 선풍기③ 선풍기(DC모터 + 가변저항 + LED) + 서보모터 + 온도센서 + 조도센서 식물 배양 기계④ 타이머(7세그먼트
    리포트 | 4페이지 | 3,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 2
    이어서 3, 2, 1도 3부터 우선순위로, 그 밑의 수들의 전압 레벨에 상관없이, 그 윗수의 전압 레벨은 0일 때 그 수의 전압 레벨이 1이면, 7세그먼트에는 그 수가 표시된다.? ... 가지는 Encoder로서, 입력 1, 2, 3, 4가 있다고 가정하고, 4부터 우선순위가 높다고 가정할 때, 나머지의 전압 레벨 (0 또는 1)에 상관없이, 4의 전압 레벨이 1이면 7세그먼트에는
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • [알기쉬운 기초 전기 전자 실험 (문운당)] 12. 발광 소자의 특성 및 논리회로 결과보고서 (A+)
    카르노 맵과 관계식§ 진리표에 따라 7 세그먼트에 입력되어질 단자들에 대한 카르노 맵을 각각 그려보았습니다.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.12.31
  • 경남대 기업가정신 비즈니스모델 과제 A+ 받음(구체적으로 적혀있어 참고할거 많음)
    기업가정신 - 비즈니스모델 과제 학과 : 학번 : 성명 : 가상아이템 : 캠핑장 운영 업체들 ( 호스트 ) 금융 ( 결제 ) 클라우드서버 지도 api 사진작가 보험회사 콜라보를 원하는 업체 광고를 원하는 업체 캠핑장 예약 어플 프로그램 ( 어플리케이션 ) 개발 업체 (..
    리포트 | 1페이지 | 3,500원 | 등록일 2023.06.26
  • [예비레포트] 숫자표시기와 7447, 응용
    예비 레포트숫자표시기와 응용1.개요숫자표시기는 보통 7-세그먼트 표시기(7-segment display)라 불린다. ... 이 숫자표시기 회로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다.2.관련이론7-세그먼트 표시기(7-segment ... 대부분의 7-세그먼트 표시기에는 이 일곱 개의 LED외에 한쪽 귀퉁이에 소수점을 표시할 수 있도록 점 표시가 있어서 실제로는 모두 여덟 개의 LED를 내장하고 있다.숫자표시기는 두
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • 롯데 코리아세븐_편의점 영업관리_최종 합격 자기소개서
    제품 다양화: 다양한 고객 세그먼트에 맞는 다양한 고품질의 고유한 제품을 포함하도록 제품 범위를 확장합니다. ... 현지 공급업체와의 파트너십을 탐색하고 독점 제품을 소개하여 7-Eleven 매장을 경쟁업체와 차별화하십시오.디. ... 지역 조직과 파트너십을 구축하고 7-Eleven 매장을 지역 사회의 신뢰할 수 있고 가치 있는 구성원으로 구축하십시오.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.06.28
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 표현한다. ... segment7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고, 위쪽 사각형의 아래 획과 ... (그림참조) 7개의 획은 각각 꺼지거나 켜질 수 있으며 이를 통해 아라비아 숫자를 표시할 수 있다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 수 정렬회로 설계 결과보고서
    FND에 작은 수를 min 7-세그먼트 FND에 출력한다. parameter는 상수를 심볼로 나타냄으로서 module을 객체화 할 때 원하는 대로 바꿀 수 있게 한다. ... subtype my_logic is std_logic range ‘0’ to ‘z’ ;답 : 0, 1, z고찰정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7-세그먼트 ... 디지털시스템 설계 실습 6주차 결과보고서학과전자공학과학년3학번성명※수 정렬회로 설계- 슬라이드 스위치에 두 개의 입력(a,b)- switch(ena)가 0일 경우7-seg에 두 입력
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 전자회로실습 4 결과 - DC 모터 속도 제어 및 측정
    세그먼트의 동작을 확인한다.=> 회전 원판을 직접 제작해 끼운 모터를 연결하고, 포토 인터럽트에 대고 원판을 돌려보니 원판의 속도에 따라 7 세그먼트의 숫자(속도)가 바뀌는 것을 볼 ... 있는 파형이 주기적으로 나오는 것을 확인 하였고, 7-세그먼트를 연결하여 불이 들어오는 것도 확인할 수 있었습니다.(2) 회전 원판을 부착한 포토 인터럽트를 연결하여 카운터 및 7 ... 성능 측정 결과(1) 포토 인터럽트의 출력 대신 함수발생기를 이용하여 카운터 및 7세그먼트의 동작을 확인한다.=> BCD카운터의 동작에서 펄스파가 나오지는 않았지만, 노이즈가 많이
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.02
  • (A+)전기전자기초실험 발표-가 시한폭탄의 제작 및 기능 구현
    실험 원리 7- 세그먼트 표시기 , FND (Flexible Numeric Display), 세그먼트 LED - 0 – 9 의 숫자를 표시 ( 소수점 포함 / 미포함 ) -7 세그먼트
    리포트 | 9페이지 | 3,500원 | 등록일 2020.11.15 | 수정일 2020.12.05
  • 부산대학교 전기전자기초실험 term project
    실험 원리 7- 세그먼트 표시기 , FND (Flexible Numeric Display), 세그먼트 LED - 0 – 9 의 숫자를 표시 ( 소수점 포함 / 미포함 ) -7 세그먼트
    리포트 | 9페이지 | 3,000원 | 등록일 2020.11.23 | 수정일 2020.11.26
  • ATmega128을 이용한 4-세그먼트 0-99출력 소스
    2주차 4장 평가 과제ATmega128의 PF에 7-segment*4(4개로 되어 있는 7-segmet)의 data핀을 연결하고 PD의 하위 4비트와 7-segment의 4개의 common ... FND_DATA[10] ={0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D, 0x07, 0x7F, 0x6F}; //Common cathode, PA0 -> ... 단자를 각각 연결하여라. 4개의 7-segment의 common 단자를 각각 제어하여 숫자 0에서 99까지 디스플레이하는 카운터를 설계하시오(단, 주기는 100초로 할 것)char
    리포트 | 2페이지 | 1,000원 | 등록일 2020.06.08
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:54 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대