• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,845)
  • 리포트(5,658)
  • 시험자료(112)
  • 자기소개서(32)
  • 논문(18)
  • 방송통신대(16)
  • 서식(5)
  • 이력서(2)
  • ppt테마(2)

"7-segment" 검색결과 161-180 / 5,845건

  • [이미지처리] 이미지 스레시홀딩 기법(Thresholding Techniques)에 대한 개념과 방법에 대한 분석
    ) 가 필수 1-1. segmention 이란 ?? ... ( 영상 인식 ) 에 있어서 가장 중요하면서도 기본적인 과정 1-1. segmention 이란 ?? ... Global Thresholding 5-7.
    리포트 | 52페이지 | 3,000원 | 등록일 2021.01.31 | 수정일 2021.02.06
  • 7세그먼트FND디코더 verilog 설계
    제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야한다. ... 설계한다,실습 내용실습결과논리식공통 음극 방식 7-세그먼트 디코더 진리표10진수입력(bcd[3:0])출력(fnd_data[7:0])bcd[3]bcd[2]bcd[1]bcd[0]abcdefg
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • PESTLE and SPACE analysis International Strategic Marketing
    First, it is a well-known five-star hotel brand with affordable room rate starting with $232/night. ... The co-user is someone actually purchased the product or the service. ... and quality of their products and services made them to target both segments.
    서식 | 16페이지 | 2,500원 | 등록일 2020.05.05
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 10차 예비보고서
    Segment 구동 회로 설계Decoder 와 7-segment 를 이용한 7-segment 구동 회로를 설계한다.74LS47 Decoder와 7-segment 사이에 330Ω 저항을 ... Decoder는 진리표에서 확인되듯 7-segment의 입력단자로 0을 출력하는 common anode decoder 구조이므로 Common anode type 7-segment를 ... type 7-segment인 5163ASR 소자를 이용하였다.
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • 아날로그 및 디지털 회로 설계 실습 결과보고서10 7segment/decoder 회로설계
    아날로그 및 디지털 회로 설계 실습-실습10. 7-segment/Decoder회로설계-10-4 설계실습 내용 및 분석7-segment 특성 확인주어진 7-segment의 Type(Anode ... segment 구동 회로 설계설계실습계획서 10-3-3에서 그린 7-segment 구동 회로에 토글 스위치를 추가하여 설계한다. 10가지 다른 입력 값에 대해 구현된 회로의 입력 단자와 ... 이 실습에서 사용한 Decoder의 경우, binary입력을 받아 7-segment LED를 점등하기 위해 7개의 출력bit를 가지는 것을 알 수 있었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • [A+]아날로그및디지털회로설계실습 10장 결과보고서
    Decoder의 신호에서 7-segment LED사이에 있는 저항의 양단의 전압을 측정하였다.LED가켜졌을 때7-segment 이후 저항 이전 : 3.10V저항 이후 decoder ... 이는 전류의 방향이 7-segment에서 decoder의 방향으로 흐른다는 것을 알 수 있어 7-segamet의 type이 anode common type임을 확인하였다.2) 7-Segment ... 전자회로 설계실습설계실습 10. 7-segment / Decoder 회로 설계4조 결과보고서1.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.18
  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    (출력단에 실험2에서 사용했던 7-segment를 연결한다.)- 표에 따라 신호를 다르게 입력한 후, 7-segment로 결과를 확인한다. ... , 함수발생기, 저항 330Ω 7개, 7-segment 1개, 74LS190 1개, 74LS47개-실험 결과실험 1) MOD-16 DOWN 카운터 회로와 7-segment 표시표 15 ... segment 표시기표 15-3 측정 결과 R0(1), R0(2)(pin 2, 3)R9(1), R9(2)(pin 6, 7)CK가) 7-segment 표시10000000downarrow
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다. ... 마디(a~g)와 연결한다.- 7447 디코더는 출력 단자로 ‘low'를 출력하여 7-segment의 각 마디를 온/오프한다.- 7447 디코더의 3~5번 핀은 제어 단자로, 7-segment
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 심근경색 CCU 실습
    #STEMI#CAOD 3VD#s/p PTCA c stent at dLCX and pLAD[2017.02.14]- CAG & PCI 후 CCU입실STEMI(segment elevation ... 성인간호학실습 파일내원 4시간 전부터 지속된 epigastric pain, sternum pain(VAS 6-7)으로 내원. ... infarction)- 생활습관흡연의 양과 기간 1갑/일 * 47년 = 47갑음주 종류, 양과 기간 소주, 막걸리 7회/주(7년째)- 가족관계자식은 모두 결혼하고 아내와 단둘이 지냄
    리포트 | 18페이지 | 1,500원 | 등록일 2020.11.20
  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    1을 입력해준다.1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet 를 확인하시오.BCD to 7-segment decoder ... -segment decoder 7447 칩의 datasheet에 따르면 ABCD = 1011 입력시 7-segment의 a d f g LED의 불이 들어오게 된다.기판에 있는 7-segment의 ... 경우 ABCD의 입력 4개 값을 받아 LED 결과를 보여주는 것을 보아 BCD to 7-segment decoder 7447 칩의 기능과 7-segment 5161 칩의 기능이 모두
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 2023 상반기 조폐공사 자기소개서
    segment를 활용한 주제로 팀 프로젝트를 진행하였는데 이때 저는 온습도를 측정하여 7-segment 소자에 숫자로 표시해 주고 불쾌지수를 계산하여 LED에 색깔별로 표시해 주는 ... 과목에서 배웠던 심화한 반도체 회로에 들어가는 반도체 소자들과 이 소자들을 응용한 심화한 전자 회로에 대해 실험하면서 전자공학도로서의 지식을 쌓았습니다. 3학년 때 실험 프로젝트는 7-
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.04.28
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7- ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 현대자동차 자기소개서
    7-segment를 연결해 만들었습니다. ... 카운트 회로는 10진 up-down 카운터로 쓰이는 74192칩과 1, 2, 4, 8을 입력을 받아 7-segment에 맞게 출력해주는 decoder, 7개의 LED로 숫자를 표현하는 ... 또한 현대자동차는 2025년까지 상용차 부문에서 전기차 7종, 수소전기차 10종으로 친환경 전동화 라인업을 확장한다는 투트랙 전략을 발표했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.08.16
  • 아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    (A)에서 생성된 Clock신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 사진의 상단에서 확인할 수 있듯이 decoder와 7-segment 사이에도 빠트리지 않고 저항을 연결하여 과전류를 방지하였다.전원을 인가하고 7-segment LED에 표시되는 숫자가 ... 이 때, Decoder와 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력의 ... 먼저 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment ... 7 segment의 출력을 확인해 본 결과 0에서부터 9까지 차례로 바뀌고 10이상의 숫자에서는 숫자가 이상하게 깨지며 나왔다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • [아날로그 및 디지털 회로 설계실습] 예비보고서10
    segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.위의 datasheet와 회로도를 참고하여 Pspice로 회로를 설계하였다 ... 설계실습 계획서3.1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000*********** ... 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.14
  • 2023 하반기 한국전력기술 자기소개서
    segment를 활용한 주제로 팀 프로젝트를 진행하였는데 이때 저는 온습도를 측정하여 7-segment 소자에 숫자로 표시해 주고 불쾌지수를 계산하여 LED에 색깔별로 표시해 주는 ... 먹은 후 적외선 센서에 사료가 감지되지 않을 때 사료가 지급되도록 모터에 신호를 주어 사료를 자동으로 지급하는 방식을 고안해 내었습니다. 3학년 때에는 더욱 심화한 회로를 배우면서 7-
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.04.28
  • 기초실험1 7 segment counter 결과보고서(틴커캐드)
    상태가 바뀌는 조건은 clock가 1->0으로 떨어지는 그 시점에만 해당한다.(2) 7 segment-decade counter: 7 segment와 decade counter를 연결해 ... 결과보고서학 과학 년학 번조성 명전자공학과실험 제목7Segment_Counter실험 결과1. 7 segment: 7 segment decoder와 7 segment LED를 연결해 ... 틴커캐드 사이트에서 7 segment LED를 구현하는 것에서 10부터 15까지의 수는 표기하지 않도록 나타낸 것 같다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서12 stopwatch 설계
    하며 7-segment로 출력하기 위해서는 출력 핀이 7개가 필요하다. ... - 7-Segment숫자를 표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 있다. 7-segment는 common cathode와 ... 이런 이유로 7-segment를 활용한 실습을 진행시 먼저 type을 확인해야 한다.- BCD to 7-Segment decoder디지털 회로의
    리포트 | 11페이지 | 1,000원 | 등록일 2022.09.08 | 수정일 2022.09.16
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    7-segment LED 를 사용하는 것이 편리하며 이때 MC14511B 의 출력을 바로 7-segment LED 의 입력에 인가하면 된다. ... 이를 10진수 방식인 7-segment LED 로 바꿔 주기 위해 Decoder 를 사용해야 한다.일반적으로 7-segment decoder 는 0~9 까지 10개의 숫자를 나타내기 ... 만약 common anode 7-segment LED 를 사용하는 경우 MC14511B 칩과 7-segment 사이에 인버터를 삽입해주어야 원하는 방향으로 동작한다.Stopwatch
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:29 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대