• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,218)
  • 리포트(1,170)
  • 시험자료(25)
  • 자기소개서(15)
  • 방송통신대(7)
  • 논문(1)

"adder" 검색결과 161-180 / 1,218건

  • 4 bit BCD adder.subtraction
    부호를 갖는 4 bit BCD adder/subtraction을 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • FPGA를 이용한 디지털 시스템 설계(인하대) 16bit Full Adder 보고서 (verilog코딩)
    4개를 사용하여 4bit full adder를 설계하고 다시 4bit full adder 4개를 사용하여 최종적으로 16bit full adder를 설계할 것이다.@1. 1bit ... FPGA를 이용한 디지털시스템 설계 REPORT1bit, 4bit full adder를 이용한 16bit full adder 설계1. ... 우리는 4bit full adder를 1bit full adder 4개를 사용하여 설계하였다.
    리포트 | 12페이지 | 2,000원 | 등록일 2015.09.25
  • VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
    이렇게 되면 6을 더한 첫 번째 BCD 변수 공간은 overflow가 생겨서 위에 4bit adder로 구현한 부분과 같은 결과를 얻을수 있게 됩니다. ... 4bit 가산기를 이용한 BCD adder소스 분석우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이 9를 넘어가게 되면 즉, ... 발생하는 carry는 버리고, 남은자리만 표현하게 구현하였습니다.4bit가산기를 이용하지 않는 BCD 가산기소스 분석4bit가산기를 이용하지 않고 BCD adder를 설계할 때 결과적으로
    리포트 | 3페이지 | 3,500원 | 등록일 2011.11.24
  • 4Bit, 16bit CLA Adder
    4Bit CLA Adder Source Codelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity CLA_4bit is Port ( a : in ... std_logic; sum : out std_logic_vector(3 downto 0)); end CLA_4bit;begin adder0 ... 7 downto 4), b=>b(7 downto 4), cin=>carry4,pout=>p(1),gout=>g(1),sum=>sum(7 downto 4)); adder8
    리포트 | 6페이지 | 4,000원 | 등록일 2009.03.09 | 수정일 2015.06.30
  • [컴퓨터공학기초설계및실험2 보고서] Ripple-Carry Adder (RCA) design
    (Full Adder, Half Adder)의 구성과 동작 특성를 알고, 가산기의 연산장치를 이해한다. ... 컴퓨터 공학 기초 설계 및 실험2 보고서실험제목: Ripple-Carry Adder (RCA) design제목 및 목적제목Ripple-Carry Adder (RCA) design목적가산기 ... Ripple-Carry Adder (RCA)의 동작 원리에 대해 이해하고 이해한 가산기 내용을 바탕으로 RCA를 설계하는 데에 목적이 있다.원리(배경지식)Adder(가산기)는 입력에
    리포트 | 20페이지 | 2,000원 | 등록일 2015.04.12 | 수정일 2015.04.24
  • 실험3 결과보고서 실험 3. Adder & SubtractorLogic gates
    2-bit parallel adder를 구성한 뒤 각각의 입력에 대한 출력을 측정하고 결과 값을 확인하라.① 2-bit가 아닌 4-bit소자의 serial adder《회 로 구 성 ... < Truth table >실험5는 2-bit parallel adder와 2-bit-serial adder를 구성한 후 각각 입력에 대한 출력을 비교해보고 그 특징이 무엇인지 비교해 ... 2-bit-serial adder 그리고 카노맵에 대한 지식이 조금 부족 했던 점인것 같다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.01.01
  • Full adder 와 Full subtracter 예비
    inverter)6) IC 7410(triple 3-input NAND gate)7) IC 7420(dual 40input NAND gate)8) IC 7483(4-bit full- adder
    리포트 | 13페이지 | 1,000원 | 등록일 2008.11.10
  • Mycad와 modelsim을 이용한 Full Adder 설계
    Full adder(Mirror adder)1) Full adder 동작 설명과 회로 설계과정① Full Adder 동작Full Adder의 동작은 앞에서 구한 Complementary ... Full adder(Mirror adder)1) Full adder 동작 설명과 회로 설계과정2) Full adder schematic3) Pre-layout simulation4) ... Full adder(Complementary static CMOS implementation) 설계1) Full adder 동작 설명과 회로 설계과정2) Full adder schematic3
    리포트 | 32페이지 | 3,000원 | 등록일 2008.08.20 | 수정일 2022.02.09
  • 1-bit Full Adder and 8-bit carry select Adder Design
    우선 4 Bit binary ripple carry adder는 1 Bit Full Adder를 4개를 이어 붙여서 만든 Adder이고, 8 bit carry select adder는 ... 그리고 마지막으로 BCD Adder는 4 Bit binary ripple carry adder 두 개와 AND Gate 2개, OR Gate 1개로 이루어진 Adder이다. ... .① 4 Bit binary ripple carry adder :Full Adder의 경우는, Half Adder에서는 할 수 없는 Carry를 처리할 수 있는 덧셈이 가능하다.
    리포트 | 7페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • full adder를 사용한 덧셈 뺄셈
    full adder를 사용한 덧셈 뺄셈본 실험에서는 전가산기와 전전가산기를 사용하여 덧셈기, 뺄셈기를 만들어 보았다. 전가간기는 3개의 입력 비트들의 합을 계산하는 조합회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.11.01
  • VHDL을 이용한 FULL ADDER(전가산기)설계
    );end full_adder;architecture structure of full_adder iscomponent half_adderport(a, b : in std_logic; ... ◎Full adder1) 소스코드① full adderlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... port map (x, y, temp_sum, temp_carry1);d1 : half_adder port map (temp_sum, c_in, s_out, temp_carry2)
    리포트 | 6페이지 | 1,000원 | 등록일 2010.06.18
  • VHDL을 이용한 Half Adder(반가산기)설계
    std_logic;sum, carry : out std_logic);end half_adder;architecture Behavioral of half_adder is ◀ architecture는 ... ◎Half adder1) 소스코드① half adderlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... is ◀ half_adder를 구성하는 포트 설정port( a, b : in std_logic; 입력 포트sum, carry : out std_logic 출력 포트a, b : in
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • 컴퓨터구조 1-bit adder 가산기 구현
    컴퓨터는 이진수로만 구성되고 의사소통한다. 1-bit adder를 통해 비트단위의 연산을 컴퓨터가 어떤 방식으로 처리하는지 알고, 이소스를 cross compiler를 통해 컴파일
    리포트 | 13페이지 | 3,000원 | 등록일 2011.04.21
  • 디지털 시스템 실험, Verilog 코딩, Adder/Subtractor/Multiplier/Divider, Binary to BCD 설계, FPGA보드 결과 포함
    Half Adder 코드를 작성하였다.2. Half Adder코드를 이용하여 Full Adder 모듈을 만들고 TestBench를 작성하였다.3. ... Full adder 4개를 이용하여 4bit adder를 만들고 TestBench를 이용하여 시뮬레이션을 돌렸다.5. 4Bit Adder에 보수개념을 이용하여 exclusive or를 ... , Full Adder, 4bit Adder/Subtractor 그리고 Multiplier를 설계하고 FPGA 보드에 연결하여 4bit Adder/Subtractor와 Multiplier를
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 8 bit adder carry look ahead
    부호를 갖는 8 bit adder를 Carry-Look-Ahead 방법으로 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity carry_look_adder ... ;architecture sample of carry_look_adder issignal hS, Cg, Cp:std_logic_vector (7 downto 0);signal Ct: ... std_logic_vector (7 downto 0);Ci:in std_logic;S:out std_logic_vector (7 downto 0);Co:out std_logic);end carry_look_adder
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • adder 의 delay area 비교 [verilog] code 포함
    1. 12bit Ripple Carry Adder waveformclock 이 들어올 때 (negative edge) 입력값을 받아서 다음 cycle 에 결과값이 나오는 것을 확인 ... ********************Report : timing -path full -delay max -max_paths 1Design : adder12bitVersion
    리포트 | 9페이지 | 1,500원 | 등록일 2010.05.29
  • 디지털 회로 설계 32 bit carry lookahead adder vhdl quartus 설계 code 포함
    adder에서 S는 그리고 Cout은 로 논리 회로를 구성한다. n bit-ripple carry adder는 n개의 full adder들의 조합인데, 이것들은 이전 full adder에서 ... 각각의 full adder들의 carry입력값은 이전 full adder의 Cout이 해당 full adder의 carry input값으로 들어가게 된다.ripple-carry adder은 ... 각각의 full adder는 3개의 logic gate를 필요로 한다. 32 비트의 ripple carry adder에서는, 32개의 full adder들이 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.15 | 수정일 2021.07.26
  • Structural/Behavioral 32-bit Adder
    3. 결론 동작적 표현(Behavioral Representation)은 설계하고자 하는 하드웨어의 구조와는 관계없이 시스템의 동작을 알고리즘 레벨에서 C프로그래밍과 같이 표현하는 것을 말한다. VHDL의 동작적 표현을 위한 가장 일반적인 구문으로서 사용되는 것이 프로..
    리포트 | 10페이지 | 1,500원 | 등록일 2008.05.04 | 수정일 2019.04.10
  • verilog에서 half adder를 이용하여 4bit full adder 를 만드는 프로그램입니다.
    Reporthalf adder 를 이용한4bit full adder교 과 목 :분 반 :교 수 :학 부 :학 번 :이 름 ://------------HALF ADDER-------- ... ;assign c_out = in1 & in2;endmodule//------------FULL ADDER---------------;module full_adder(x, y, c_in ... -------;module half_adder(in1, in2, sum, c_out);input in1, in2;output sum, c_out;assign sum = in1 ^ in2
    리포트 | 2페이지 | 1,000원 | 등록일 2007.10.13
  • 아주대 논리회로실험 실험예비3 가산기와 감산기(Adder & Subtractor)
    또한 이 두 방법을 이용하여 4-bit serial adder와 4-bit parallel adder를 각각 구성하시오.① serial adder- 더하는 수와 더해지는 수의 비트 ... 가산기와 감산기(Adder & Subtractor) 예비보고서● 이론(1) 반가산기(Half adder)두 개의 2진수를 더하여 합(Sum) S 와 자리 올림(Carry) C를 출력하는 ... 조합논리 회로입력출력xyCS0*************10(2) 전가산기(Full adder)두 개의 2진수와 아랫자리의 자리 올림을 더하여 합(Sum) S 와 자리 올림(Carry
    리포트 | 8페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대