• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(14)
  • 리포트(12)
  • 자기소개서(2)

"간단한VHDL PROGRAM 설계" 검색결과 1-14 / 14건

  • Xilinx IP core의 설계VHDL의 기초 설계
    _Toc184483310 \h 4 HYPERLINK \l "_Toc184483311" 2.간단한VHDL Program 설계 PAGEREF _Toc184483311 \h 4 HYPERLINK ... Xilinx IP core의 설계VHDL의 기초 설계법목 차 TOC \o "1-2" \h \z \u HYPERLINK \l "_Toc184483310" 1.서론 PAGEREF ... .Shift 레지스터 설계하기 PAGEREF _Toc184483313 \h 11 HYPERLINK \l "_Toc184483314" 3.VHDL을 이용한 Xilinx IP Core의
    리포트 | 29페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 시립대 전전설2 [2주차 예비] 레포트
    Essential Backgrounds for this LabXilinxintegrated software environment 통합 소프트웨어 환경 입니다. verilog HDL이나 VHDL의 ... Program을 선택해주고 프로그램을 한다.(3) Procedure of Lab 3.Full Adder1 ) 앞서 설명한 다른 게이트를 설계와 같이 New Project Wizard에서 ... 그러나 이 AND, OR, NOT 등을 사용한 간단한 회로에서는 더욱 하위에서의 자리올림을 처리하는 것이 불가능하므로 가산 회로로서는 불완전하여 이와 같은 회로를 반가산기라고 한다.전가산기전가산기는
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ9주차. ... 편리하게 programming 할 수 있을 것이다.7 Segment의 binary 값을 parameter로 지정하고 호출하여 사용하면, 더 편리하게 programming 할 수 있다.ConclusionVerilog ... Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    계산이 진행되고 led에 표시된다.세 번째로 7 segment 출력이다. 7 segment의 출력은 cnt2를 이용하여 루프를 돌며 각 자리의 7 segment 출력이 나타난다.간단하게 ... Design(1)어떠한 회로를 설계할 것인가 1)1)ALU-4bit State(상태) 별 동작-ALU의 동작방법1. ... WebPack을 실행시켜서 프로젝트를 새로 생성하고 이에 따른 VHDL 모듈을 선택한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • VHDL을 이용한 MU0 구현 (논문양식)
    2010년 11월 VHDL MU0 설계최종보고서MU0최 전자공학과( )요 약MU0 의 기본적인 동작 원리를 이해하고 VHDL로 코딩하여 시뮬레이션하여 결과를 확인한다.KeywordsVHDL ... PC, IR, ACC의 세가지 레지스터로 구성되어 있으며 증가기능 더하기 빼기등의 간단한 기능이 있다.우리는 이 MU0의 동작 방법을 이해하고 VHDL 코드로 작성하여 시뮬레이션을 ... Processor의 구성MU0 는 PC; Program Counter, IR; Instruction Register, GPRs; General Purpose Register 으로 3가지의
    리포트 | 10페이지 | 3,500원 | 등록일 2010.12.27
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    공동으로 사용할 수 있게 모아놓은 꾸러미.VHDL설계단위와의 관계지금까지 VHDL 언어에 대해서 알아 보았고, Programing 하는 데 대한 기본적으로 숙지해야 할 사항들과 ... 실험 이론지식 VHDL 이란 무엇인가.2-1> VHDL2-2> VHDL의 기본 숙지사항2-2> VHDL설계단위 정리3. ... 실험 이론 지식 - BCD code / Excess-3 code 의 정의BCD코드란 10진수중 0-9까지만의 수를 2진수로 표현한 것으로 간단하게 각 자리별로 2진수로 표현한 것이다
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    아래에 간단한 switch 조작 방법을 첨부하였다.3. ... 디지털 회로 설계, 그에 대한 시뮬레이션 및 에뮬레이션을 통해 프로그램을데 상당히 까다로울 수 있다. ... Timing Simulation(Verification) 하는 방법Timing se Programming File에서 오른쪽 마우스를 클릭해준 다음 Properties를 클릭한다.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • 캐논코리아비지니스솔루션_SW연구개발직 합격 자기소개서
    그리고 디지털 회로에 대한 이해와 VHDL을 활용하여 'UART 통신을 통한 디지털 도어락'이라는 프로젝트도 진행하였습니다. ... . 6개월간의 장기 과정 내 C언어 및 System Programming, TCP/IP 기반 통신, Kernel Programming, Device Driver뿐 아니라 객체지향에 ... 환경도 경험하였으며, target board에서 동작하는 간단한 Application도 만들어 보았습니다.이러한 학부과정만으로는 부족하다는 생각에 임베디드 SW 전문가 과정을 수료하였습니다
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.11.01
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    우리는 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... 간단하게 더블클릭으로 파일을 열수 있다. ... 즉 VHDL 코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인(functional) 관점을 시뮬레이션하기 위한 Synthesis와 실제 시간적인
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • 디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
    설계 / 회로도(1) 파형에 대한 정리이번 설계를 하면서, 가장 첫 번째 중요한 것은 16Mhz라는 것이다.16Mhz => 100Hz => 10Hz => 1Hz로 만들면서, 시계를만들 ... 이것이 Byte Blaster 이다.PLD (Programable Logic Device) :디지털 시계의 머리부분이다. ... VHDL 소스LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; -- 프로그램 시작 초기 선언 --ENTITY
    리포트 | 17페이지 | 1,500원 | 등록일 2007.11.18
  • 임베디드 시스템
    이론 및 실습VHDL 및 System CFPGA설계기본 레지스터 맵 설계기본 SoC를 이용한 보드 설치디바이스 드라이버SoC 고급화 설계임베디드 SW 연동타겟 SoC 최적화통신이론 ... 시스템에서의 S/W 간단하고 단순한 순차적인 작업에 관련 순차적인 프로그램으로 충분하였음 8bit, 16bit 마이크로프로세서 및 마이크로콘트롤러 사용 시스템 고기능화 기존의 시스템 ... Skill Set리눅스 커널 구조Level 1Level 2Level 3Level 4SoCLevel 5모바일 폰STBTelematics홈오토메이션PDAEmbedded System Programming
    리포트 | 26페이지 | 1,500원 | 등록일 2008.12.23
  • [자기소개서]2010년 지금까지 경험하지 못했던 자기소개서 2편
    VHDL 설계 프로젝트에서도 저만의 아이디어를 더한 디지털시계를 만들었습니다. ... LCD에 나타나는 Display화면을 4가지 모드로 선택할 수 있도록 하고 Stopwatch기능과 닭 우는 소리가 나는 간단한 아날로그 스피커 회로를 만들어 알람기능에 추가하였고 날짜 ... 끊임없는 자기계발"대학시절 Self Leadership Program을 수료해 개인과 조직의 성장을 함께 추구하는 리더십을 배웠습니다.
    자기소개서 | 11페이지 | 3,000원 | 등록일 2010.05.04
  • [전자공학 디지털공학] spice에 대하여
    나머지 Design이나 VHDL File은 단지 회로 설계에만 관련된 것이므로 선택하지 않는다.② New Project 대화 상자가 나온다Name란에 프로젝트 이름을 써 넣는다 (저의 ... SPICE(Simulation Program with Integrated Circuit Emphasis)는 컴퓨터를 이용하여 전기, 전자, 디지털회로의 해석 및 설계를 위해 1972년 ... 간단한 Clock에서 복잡한 Pulse 패턴과 Bus Sequences까지 생성 가능
    리포트 | 6페이지 | 1,000원 | 등록일 2004.10.08
  • [디지털] VHDL 강좌2
    VHDL의 출현 배경 및 변화 과정미국 정부의 VHSIC Program의 주요 목적은 설계, 공정 및 제조 기술 분야에 있어서 미국의 기술 수준을 향상시키는 데 있었다. ... 또한 미국 정부는 이 Program의 일부로써 VHDL의 개발 노력을 지원하고 있었다. ... 컴퓨터 기술의 발달과 함께 VHDL을 이용한 설계 기법의 발달은 비단 이 분야에 전공하는 사람뿐만 아니라 초보자에게도 보다 쉽게 회로를 설계할 수 있는 기회를 제공하고 있다.현재 VHDL
    리포트 | 7페이지 | 1,000원 | 등록일 2001.11.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대