• 통큰쿠폰이벤트-통합
  • 통합검색(2,203)
  • 리포트(1,820)
  • 자기소개서(171)
  • 시험자료(125)
  • 방송통신대(63)
  • 논문(10)
  • 서식(8)
  • 이력서(3)
  • ppt테마(2)
  • 노하우(1)

"교통제어설계" 검색결과 1-20 / 2,203건

  • 교통신호등 제어기 VHDL 설계
    교통신호등 제어설계Ⅰ. 수행 및 제출(1)Ⅱ. 수행 및 제출(2)Ⅲ. 수행 및 제출(3)Ⅳ. ... 수행 및 제출(4)교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... VHDL 설계를 보면 각 정상 상태에 따른 시간이 constant로 부여되어 있고 만약 대기모드가 ‘1’일 경우 YY상태가 되고 그렇지 않을 경우 rising_edge를 주면 RG의
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 교통제어설계 ArcGIS를 활용한 소음노출지역파악 및 해결방안 제시
    교통제어설계- Arc GIS를 활용한 소음노출지역 파악 및 해결방안 제시 -목 차Ⅰ. 서론1. 연구배경2. 연구목적Ⅱ. 본론1. 자료수집2. 연구방법3. 연구결과Ⅲ. 결론Ⅰ. ... 우선, 한양대학교 제 2공학관 5층에 위치한 교통정보센터에서 교통량과 속도자료를 수집하였으며, 이 때 교통량은 차종별로 분류하여 추출하였다. ... 각 변수에 대한 설명은 다음과 같다.① 시간당등가교통량시간당등가교통량은 소형차 통과대수와 대형차 통과대수로 산정하는 것인데, 이때 차종별로 추출한 교통량을 이용하였다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.01.28
  • 교통신호설계제어단위 교차로그룹 설계방안에 관한 연구 (A Study on determine of breaking points of Intersection groups for a Traffic Signal Design)
    대한교통학회 정영제, 김영찬
    논문 | 5페이지 | 1,500원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • Verilog를 이용한 교통신호제어기(TLC) 설계
    Verilog 설계유사 코드//Define True/False & DelaysTRUE 1'b1, FALSE 1'b0, LI1 8, LI2 6, SI 2//Module declarationmodule
    리포트 | 11페이지 | 1,500원 | 등록일 2009.11.17
  • 2016 부산대 교통공학 기말고사
    동안의 실제 도착교통량(설계교통량)에 포화교통량을 나눈 값으로 소요현시율을 v/s로 나타내며, 이를 각 차로군의 교통량비라고 한다. ... TSM의 종류로는 교통류 개선, 다승객차량 우대, 첨두교통수요 감축, 주차관리, 승용차 이용억제, 버스 및 비정규 대중교통, 고속도로 운영관리 등이 있다.(7) 소요현시율은 설계시간 ... 시스템이 있고, 교통감응식 및 컴퓨터 제어기를 사용한 시스템 연동 방식 3가지 연결 감응 제어 시스템, 연결 감응식 루프 제어 시스템, 중앙컴퓨터 제어 시스템이 있다.cf)?
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.03.22
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 논리회로실험_신호등(사거리) 레포트
    실험 내용남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다.1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 의하면 상태의 출력 값이 중복되는경우가 있기에 회로의 최적화를 위하여 불필요한 경우를 줄이게 되면 최종 상태는 표 2와 같다.2) State Transition Diagram그림 1 교통신호제어기 ... 실험 설계 과정6나. 실험 결과143. 결론16가.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 서울시립대학교 편입학 교통공학과 자기소개서
    , 물류시스템및설계, 지능형교통체계, 도시대중교통, 교통시설공학설계, 교통제어 등의 수업을 이수했습니다. ... 교통공학 중에서 OOO교통, OO제어, OOO관리, OOO망 분석 등에 많은 관심을 가지고 있습니다. ... 과 전공과의 연계성저는 OO대학교 교통공학과에서 교통류이론, 도로공학, 교통운영과학, 교통조사설계, 교통용량, 교통공학개론, 포장공학, 교통환경, 철도공학, 도로관리, 지구교통계획및설계
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.12.19
  • 안전교육지도(사) 제11차시 PPT 강의안 (41쪽) - 재난안전(1) A형
    바람방재시설물의 주요 기능 1 피해 방지 태풍과 강풍으로 인한 재산 피해와 인명 손실을 최소화합니다. 2 공해 차단 대기오염물질과 황사의 유입을 막아 공기 질을 개선합니다. 3 먼지 제어 ... 이러한 재난은 인간의 활동이나 실수로 인해 발생하며, 도시화와 산업화로 인해 그 위험성이 증가하고 있습니다. 2 국가기반체계 마비 에너지, 통신, 교통, 발표기준 – (4) 건조 구분 ... 화산 폭발 산사태 기타 자연현상 황사 조류 대발생 조수 주의: 황사는 최근 미세먼지에 포함되어 자연재해로 보기 어려운 경향이 있습니다.(2) 사회재난 1 인적 재난 화재, 폭발, 교통사고
    ppt테마 | 41페이지 | 2,500원 | 등록일 2024.07.30
  • 교통공학원론 신호체계 및 지능형교통체계(ITS) 정리본
    수 있으며 교통대응식으로 운영이 가능하다이런 설계는 hardware상의 큰 수정 없이 시스템을 확장시킬 수 있다.3) 중앙컴퓨터 제어시스템컴퓨터를 이용하여 연동신호체계를 제어하고 ... . p33 교통관리시스템 설계 시 고려사한 종류의 사건에 대한 대응방안을 일반화하는 교통관리전략의 일반화가 필요교통관리전략을 시스템 내에 적용하기 위해서는 두 단계 절차가 필요첫 번째 ... 방법2) 간선도로 교차로 제어간선도로의 교통류를 연동시키는 제어로서, 모든 신호는 선형제어 시스템으로 움직인다.3) 도로망 교차로 제어어떤 지역 또는 지구의 신호망교차로를 연동시켜
    시험자료 | 22페이지 | 2,500원 | 등록일 2023.03.03
  • 퍼지 집합 탐구보고서
    시스템이었다.퍼지 논리를 사용 -> 실시간으로 바뀌는 차량 수에 따른 자동제어를 통해 실시간으로 교통 신호를 제어할 수 있다. ... 이후 이 자동제어시스템은 측정한 자료가 속한 소속도에 따라 교통 체증이 가장 심한 차선에 우선 순위를 두어 교통 신호를 부여하는 원리로 작동한다.이 작동을 위해서는 ‘소속함수’와 이를 ... 이때 미리 설계된 소속 함수에 따라 각 차선에 속해 있는 차량 수의 비율과 점유 시간 등과 같은 정량적 비율을 측정한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.12.05
  • 자율주행자동차
    보장하기 위해 Redundancy 개념 포함- 차세대 차량네트워크(IVN) 플랫폼 기반의 통합제어설계(10) 디지털 맵 기술- 센서, GPS, 통신장치 등 IT기술을 이용하여 차선 ... 때 교통법규를 엄수하며 선행차량 추월- 교통표지판의 제한속도 인식 필요(3) 주행차로 및 차간거리 유지 서비스- 주변상황을 인식하고 선행차량과의 차간거리/충돌회피, 차선유지 제어- ... 핵심기술 소개(1) V2X 통신기술- V2V, V2I 등 V2X 통신기술을 사용하여 인프라 및 차량 센서정보를 융합- 차량의 주변상황을 인지할 수 있는 신뢰성 있는 V2X 통신모듈 설계
    리포트 | 11페이지 | 3,900원 | 등록일 2022.06.28 | 수정일 2022.09.07
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    ADC(아날로그 -> 디지털 컨버터) Controller 설계하기1. MCU에서 trigger 발생2. 제어기에서 ADC로 Start-convert 신호 전송3. ... 시스템 설계하기(전체 105초 동작 반복)사람 통행 30초 -> 점멸 10초 -> 차량 통행 60초 -> 황색등 5초case 문을 사용한 횡단보도 제어기의 VHDL 소스총 4가지의 ... 시스템 설계하기66ADC(아날로그 -> 디지털 컨버터) Controller 설계하기97ADC MODEL 설계하기111.
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 자율주행자동차 무인자동차 사용의 장단점과 개발현황 및 향후 미래에 대해 서술하시오
    모든 도로 교통 상황에 대한 정보를 바탕으로 운전이 이루 것이다. 장착된 센서와 컴퓨터가 자동차를 제어하게 되어 사람에 의한 실수로 교통법규를 위반하는 행위가 줄어들게 된다. ... 또한 현재의모든 인프라는 자율주행차를 위해 설계되어 있지 않아서 자율주행차는 일반 자동차와 정보를 공유할 수 없고 실시간 교통 상황 모두를 공유 받을 수 없는 한계가 있다. ... 핸들을 장착해 각도를 미리 정해, 원하는 길을 달랄 수 있도록 설계하였다. 1925년 프랜시스 후디는 전파를 보내 전기 모터를 제어하는 차를 개발하여 앞 차에 수신기를 설치해 뒤에
    리포트 | 7페이지 | 3,000원 | 등록일 2024.02.14
  • 서울대학교 일반대학원 건설환경공학부 연구계획서
    , 원격탐사, 상수도공학및실험, 토양환경생태공학, 수질학및실험, 수질오염제어, 환경공학실험및설계, 환경공학, 지속가능교통체계, 교통공학및실험 등의 수업을 들은 바 있습니다. ... 제가 관심 있던 과목은 도시계획, 도시설계 분야였습니다.3. ... 학부, 대학원 이수 전공 과목 중 관심과목저는 OO대학교 건설환경공학부에서 건설시스템종합설계, 도시계획, 도시및지역경제론, 도시설계, 단지계획, 국토및지역계획, 공간정보공학, 공간정보시스템
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.04.16
  • [학과 소개] 미래자동차(모빌리티)학과
    기술자, 메카트로닉스공학 기술자, 자동차공학 기술자, 자동차 소재 연구자, 한국 자동차 부품 연구원, 고등기술연구원, 보험개발원, 자동차 성능 시험 연구원, 시스템소프트웨어개발자, 교통안전연구원 ... , 컴퓨터구조, 미래 자동차공학 종합설계, 미래형 자동차, 설계공학, 종합설계프로젝트, 제어·계측공학 설계 등4) 개설 대학가천대, 건국대, 경상국립대, 경일대, 고려대(세종), 공주대 ... 일반물리, 일반물리 실험, 일반화학, 일반화학실험, 고체 역학, 공학과 젠더 혁신, 내연기관, 공업 물리화학, 동역학, 열역학, 에너지 소재, 유체역학, 맞춤형 직업교육, 자동차제어
    리포트 | 2페이지 | 2,500원 | 등록일 2023.06.29
  • 삼성중공업 회로설계 합격자소서
    학교에서는 자동제어 과목을 수강함으로써 전력제어시스템, 운항 제어 시스템과 같은 분야에 맞는 역량을 길렀고 또한 여러 설계 과목의 프로젝트를 수행하면서 엔지니어링 능력을 갈고닦았습니다 ... 프로젝트에 필요한 아두이노와 자바를 스터디 형식으로 공부하여 습득하였고 필요한 회로를 설계하고 블록다이어그램을 그렸습니다. ... 베네치아는 유일한 교통수단이 수상버스인 바포레토라는 배이기 때문에 관광객들은 하루에 몇 번씩이나 배를 타야 합니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.11
  • 2023학년도 한양대학교 융합전자공학부 편입 최초합 자기소개서
    V2X 기술을 통해 차량 간의 간격 및 속도 제어, 교통 현황 등 실시간 정보와 더불어 교통체증 및 사고를 미연에 방지할 수 있다는 것을 알게 되었습니다. ... 한양대학교 융합전자공학부에서는 하드웨어를 더 정밀하게 제어하거나 설계하는 법을 익히는 동시에 소프트웨어에 대해 배운다는 점에서 전자, 통신, 소프트웨어 분야에서 고른 전문성을 갖춘 ... , 카티아를 통해 2D, 3D 설계에 대하여 배웠습니다.
    자기소개서 | 4페이지 | 9,000원 | 등록일 2023.02.08 | 수정일 2024.06.28
  • 건물자동제어 사례 _ 풀무원기술원
    미국그린빌딩위원회는 통합적인 설계 계획 , 건물의 위치 및 주변 교통 , 물 사용 절감 , 에너지 절약 및 대기 환경 , 친환경적인 건축 자재 사용 , 실내환경 관리 , 혁신적인 설계 ... BEMS 는 BAS( 자동제어시스템 포함 ) 인프라를 기반으로 데이터 수집 및 가시화 , 에너지 소비와 설비상태를 분석 및 예측하고 최적제어와 의사결정을 지원하는 시스템으로 설계되어야 ... , 스케줄 제어 , 주광 제어 , 디밍 제어 및 재실감지 연계 조명 제어로 가운데 사진과 같이 조명 에너지를 관리한다 .
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.06
  • 부산교통공사 자기소개서 (전기직렬)
    PLC 활용으로 LED 순차 제어(3) 성과 : 전기에너지를 생산하여 전기를 직접 사용해보자는 취지로 제작하게 되었고, 이 과정에서 저는 AutoCAD를 활용해 전력계통 도면을 설계하여 ... , 전력계통 도면설계(2) 주요 활동 내용1) 주 3회 자전거 발전기 제작을 위한 부품 조립2) AutoCAD 활용으로 전력계통도면 작성3) 생성된 전기를 배터리에서 인버터로 거쳐 ... 수·변전 도면의 표준 결선도를 이해할 수 있는 능력을 키웠고 PLC 프로그램인 XG5000을 활용하여 플립플롭 회로를 구성해 LED를 순차적으로 점등하는 제어작업을 하여 자동제어능력을
    자기소개서 | 3페이지 | 4,000원 | 등록일 2021.11.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대