• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,907)
  • 리포트(1,613)
  • 자기소개서(110)
  • 시험자료(93)
  • 방송통신대(53)
  • 서식(22)
  • 논문(14)
  • 이력서(1)
  • ppt테마(1)

"교통 신호등 설계" 검색결과 1-20 / 1,907건

  • 교통신호등 제어기 VHDL 설계
    교통신호등 제어기 설계Ⅰ. 수행 및 제출(1)Ⅱ. 수행 및 제출(2)Ⅲ. 수행 및 제출(3)Ⅳ. ... 수행 및 제출(4)교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • SVA를 이용한 교통 신호등 로직 설계
    구현된 교통 신호등 논리 회로를 SVA를 이용하여 상태 천이를 check하는데 있다.
    리포트 | 3,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
  • 교통신호(교통신호등)의 종류, 기능, 교통신호(교통신호등)와 LED교통신호, 교통신호(교통신호등)와 교통편익 비용분석, 가로망설계모형, 교통신호(교통신호등)와 신호최적화모형 분석
    교통신호(교통신호등)의 종류, 기능과 설치기준, 교통신호(교통신호등)와 LED교통신호(LED교통신호등), 교통편익 비용분석, 교통신호(교통신호등)와 가로망설계모형, 신호최적화모형, ... 교통신호(교통신호등)와 가로망설계모형Ⅶ. 교통신호(교통신호등)와 신호최적화모형1. SOAP(Signal Operations Analysis Package)2. ... 교통신호(교통신호등)와 가로망설계모형가로망 설계 문제는 가로망에 새로운 시설들을 첨가하거나 기존 시설들의 용량 향상 등을 통해 가로망의 통행상태를 최적화 하는 것이다.
    리포트 | 12페이지 | 5,000원 | 등록일 2013.04.01
  • 교통신호등 설계를 위한 SVA와 PSL의 이용 예제
    리포트 | 4,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
  • verilog HDL을 사용한 신호등 for 교통체증 설계~!
    - Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 신호등신호를 변화시킴Keypad- 임의의 값을 설정하여 Password 역할을 함Piezo- ... Segment에 표시LED- Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 LED의 출력 값 변화Bus SW- 임의의 값을 설정하여 Password 역할을 함신호등
    리포트 | 3,000원 | 등록일 2015.01.01
  • VHDL을 이용한 교통신호등 설계
    VHDL을 이용한 교통신호등(Traffic) 설계프로젝트 목표: VHDL을 이용하여 교통신호등설계한다.개발도구: FPGA(ALTERA)BOARD, QuartursⅡ//신호등 구동을 ... walk_g: out std_logic_vector(3 downto 0););end traffic;//클럭 분주를 위한 변수의 선언architecture arc of traffic is//신호등 ... std_logic;signal mclk:std_logic;signal s_flicker:std_logic;begin//flick_sw가 rising edge일 때 s_flicker신호
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.06
  • VHDL을 이용한 교통 신호등 설계
    VDHL을 이용하여 교통 신호등설계한 프로그램 입니다. (4거리)빨간불, 초록불, 노란불이 자동으로 바뀌며 신호등에 불이 들어와 있는 동안 7segment에는 신호가 바뀌기까지
    리포트 | 14페이지 | 10,000원 | 등록일 2007.01.12
  • 논리회로실험_신호등(사거리) 레포트
    실험 내용남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다.1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다.3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다. ... EW 녹색 신호등은 4초 동안 지속된 후 2초간 황색 신호를 준 후 적색으로 바뀐다.※ 기타사항 : 차량이 통행하는 것은 스위치를 이용하라.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 교통체증 보고서
    잘못된 도시 설계, 규모가 너무 큰 도시, 지형적 한계 등이 있었다. 미국의 로스앤젤레스, 필리핀의 마닐라, 나이지리아의 라고스 등이 교통 체증이 가장 심각한 도시들이다. ... 시간 조절이 가능한 신호등 체계- 차가 밀집된 곳은 초록 신호가 켜지는 시간 길게함- 차가 거의 없는 곳은 초록 신호가 켜지는 시간 짧게함- 시간 조절을 통해 차가 몰리는 것을 방지3 ... 도시문제 프로젝트교통체증 문제1조세계의 인구가 전체적으로 증가하고, 이촌 향도 현상 등으로 도시로의 인구 밀집 현상이 일어나면서 전세계 곳곳에서 교통체증 문제가 대두되고 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.03.30
  • 2016 부산대 교통공학 기말고사
    TSM의 종류로는 교통류 개선, 다승객차량 우대, 첨두교통수요 감축, 주차관리, 승용차 이용억제, 버스 및 비정규 대중교통, 고속도로 운영관리 등이 있다.(7) 소요현시율은 설계시간 ... 즉 L/1.2최소 녹색시간은 (보행자 횡단시간-황색시간+보행자최소초기녹색시간)3.(1) 반감응신호기는 주도로교통이 많아, 부도로 교통신호등 없이는 주도로 교통을 횡단할 수 없는 ... .(5) ITS는 지능형 교통 시스템으로 도로, 신호기, 표지, 노면 표지 등 교통시설물에 첨단전자, 통신, 제어기술 접목시킨 첨단 교통 시스템이다.
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.03.22
  • PSC 교통신호등주 프리캐스트 기초의 신뢰성기반 최적화 설계 연구
    한국복합신소재구조학회 조태준, 박신전
    논문 | 11페이지 | 4,200원 | 등록일 2023.04.05
  • 발달장애인의 사회적 기술과 대인관게 PPT 강의안 (117쪽)
    대중교통 이용 버스나 지하철 이용 방법을 학습합니다. ... 극복 방안2) 사회적 신호 학습 표정 학습 다양한 표정이 나타내는 감정을 배웁니다. 몸짓 이해 몸짓 언어의 의미를 학습합니다. ... 사회적 신호 해석의 어려움 표정 해석 다양한 표정이 나타내는 감정을 이해하기 어려워합니다. 몸짓 이해 상대방의 몸짓이 전달하는 메시지를 파악하기 힘듭니다.
    ppt테마 | 117페이지 | 5,000원 | 등록일 2024.08.03
  • (합격자) 교통공학 경찰 특채 직무기술서
    교통소통 및 안전 관련 교통전략 수립, 설계 등의 업무수행- 교통? ... 근무경력기관명부서 및 직위근무기간담당업무교통SOC사업팀, 대리ITS 사업 구축(ITS, UTIS, BIS)설계팀, 사원ITS 사업 교통전략 수립 및 UTIS, 신호체계, BIS 설계전략마케팅부 ... □ 교통사업 설계경험(2011.03~2013.03(2년1개월))○ 지능형교통시스템 및 CCTV 통합관제센터 기획 및 설계※ 직무내용 : 경찰청 관리사업인 UTIS, 신호제어시스템,
    자기소개서 | 2페이지 | 6,000원 | 등록일 2020.10.25 | 수정일 2022.06.26
  • 도로교통공단 자기소개서 (무기계약직)
    차량대수, 주기, 속도 그리고 신호연동 등 고려하면서, 가장 중요한 안전까지 고려한 설계를 만들도록 하겠습니다. ... 이번 기회를 통해 교통신호분야에서 장기간동안 안전개선 등 더 나은 교통을 만들기 위해 노력하겠습니다.지원업무를 수행하기 위한 직무능력(1200byte) 100~600자용산의 새벽 한강대로 ... 설문조사 알바, 주야간 차량조사 알바, 도로교통공단에서 신호개선과 관련된 분석 등 여러 경험을 해봤지만 짧은 기간이여서 아쉬움을 가지고 있었습니다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2022.06.05 | 수정일 2024.03.27
  • 교통기사 실기 필답형 한번에 합격한 공식 정리
    차량의 충돌유형(2회)- 정면충돌, 측면충돌, 각도충돌, 후진충돌신호 등 운영패턴 중 연동을 위한 신호시스템(2회)- 동시시스템, 교호시스템, 이중교호시스템, 연속진행시스템도시교통패턴의 ... 통과할 수 있는 최대교통량으로서 녹색신호가 계속될 때 손실시간이 없는 1시간동안의 교통류율로 나타낸다여객자동차터미널 설계 고려사항(1회)- 매표실, 대합실, 승강장, 주차장, 화장실횡단보도 ... , 선형과 설계속도- 교통조건 : 차종의 구성비율, 직진 및 회전차량의 구성비율- 신호조건 : 주기, 유효녹색시간, 표지에 의한 속도제한가변차로제의 장·단점(1회)- 장점 : 필요한
    시험자료 | 12페이지 | 4,000원 | 등록일 2023.11.09 | 수정일 2023.11.27
  • LH 공채 자기소개서
    이것을 이용하여 도시 계획을 할 때 이루어지는 교통계획, 교통설계 등에 있어서 연동, 보행자 심리, 통행속도 등 실무에서 적용하였던 신호 대중교통 운영에 있어 더 세세한 부분을 고려할 ... 교통신호부에서 신호만 배우는 것이 아닌 신호운영을 위한 보행자, 운전자의 특성을 고려한 신호설계를 배우고 있습니다. ... 사거리의 사고 위험, 효창공원 삼거리의 주기 개선 요청 등의 민원에 대해서도 각각 연속적인 두 개의 신호에 대한 신호시간 조정, 주기 및 현시 시간 조정 등을 통한 개선 방안을 내어
    자기소개서 | 3페이지 | 5,000원 | 등록일 2022.06.05
  • 2023학년도 한양대학교 융합전자공학부 편입 최초합 자기소개서
    V2X 기술을 통해 차량 간의 간격 및 속도 제어, 교통 현황 등 실시간 정보와 더불어 교통체증 및 사고를 미연에 방지할 수 있다는 것을 알게 되었습니다. ... 전자 장치나 센서들과 신호처리, 제어기술에 대한 관심이 커졌습니다. ... , 카티아를 통해 2D, 3D 설계에 대하여 배웠습니다.
    자기소개서 | 4페이지 | 9,000원 | 등록일 2023.02.08 | 수정일 2024.06.28
  • 교통기사 - 교통공학
    시험자료 | 19페이지 | 2,500원 | 등록일 2020.10.22 | 수정일 2020.11.16
  • 교통공학원론 신호체계 및 지능형교통체계(ITS) 정리본
    교통관리 및 운용 기말고사1. p456 교통감응신호기감응식, 반감응식 기능, 설명1) 반감응신호기검지기를 부도로 접근로에만 설치하여 운영, 부도로의 교통이 주도로의 교통 신호등 없이는 ... 운영이 가능하다이런 설계는 hardware상의 큰 수정 없이 시스템을 확장시킬 수 있다.3) 중앙컴퓨터 제어시스템컴퓨터를 이용하여 연동신호체계를 제어하고 운영, 감독한다이 시스템은 ... . p33 교통관리시스템 설계 시 고려사한 종류의 사건에 대한 대응방안을 일반화하는 교통관리전략의 일반화가 필요교통관리전략을 시스템 내에 적용하기 위해서는 두 단계 절차가 필요첫 번째
    시험자료 | 22페이지 | 2,500원 | 등록일 2023.03.03
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대