• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(36)
  • 리포트(31)
  • 자기소개서(5)

"기본로직 설계 및 시뮬레이션 검증" 검색결과 1-20 / 36건

  • 기본로직 설계 및 시뮬레이션 검증 회로설계
    REPORT기본로직 설계 및 시뮬레이션 검증제출일2020전 공.과 목디지털회로설계학 번담당교수.이 름.목 차제 1장 서론1-1 1차 레포트의 필요성 목적1-2 레포트의 과정제 2장 ... 결론1-1레포트의 목적각각의 논리게이트의 동작을 Quartusll 13.0시뮬레이션을 통해 schematic, VHDL 디자인을 하여Quartusll 개발환경에 적응하기위함AND, ... 결론각각 게이트의 SCHEMATIC 디자인, VHDL 디자인을 실시하였습니다.회로도를 이용하는 방법과 VHDL을 이용하는 방법은 두가지가 있으며디자인 설계 후synthesis 합성
    리포트 | 32페이지 | 2,000원 | 등록일 2022.01.05
  • 디지털시스템실험 2주차 결과보고서
    디지털 시스템 설계 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 실험 결과보고서이름 :학번 :실험제목① FPGA Verilog의 이해, Verilog를 통한 ... 시뮬레이션의 결과는 그림과 같다.4. FPGA 보드를 통한 검증1. ... FPGA 프로그래밍 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.실험결과1.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • 자동차 fail safe 연구 사례 시뮬레이션 검증
    fail safe 연구 사례 시뮬레이션 검증fail safe 연구 사례 시뮬레이션 검증 소개 차량 기능 안전성 검토 기준의 예 ISO26262 Fail safe and fail ... 자동차 구조의 가장 기본인 주행 기능에도 여러가지 센서와 보호 장치등이 그것이며 , 요즘에는 콕핏 내에서 운전자 주부의로 인한 사고를 줄이기 위한 운전자 맞춤형 기술들이 많이 연구 ... 리던던시 제동 시스템의 Fail-Safe Back-up 제어 알고리즘 개발 .
    리포트 | 21페이지 | 2,000원 | 등록일 2023.05.28
  • 디지털시스템실험 2주차 예비보고서
    방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.기본지식1. ... 설계한 모듈을 검증하기 위하여 ModelSim을 통해 시뮬레이션 한다.다음 그림과 같은 파일을 작성하고 C:\DS_2013\LAB01_INTRODUCTION\RTL 폴더에LAB01_ ... 디지털 시스템 설계 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 실험이름 :학번 :실험제목① FPGA Verilog의 이해, Verilog를 통한 FPGA 프로그래밍
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • Verilog HDL을 이용한 로직게이트설계 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 제목 [Verilog HDL을 이용한 로직게이트설계 FPGA를 통한 검증]2. ... 회로의 원하는 동작을 기술할 수도 있고, 원하는 회로 구조를 기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. ... -Field Programmable Gate Array(FPGA) board의 용도 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.-1-bitFullAdder와
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    회로의 원하는 동작을 기술할 수도 있고, 원하는 회로 구조를 기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. ... 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1]넓은 평야 (
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    회로의 원하는 동작을 기술할 수도 있고, 원하는 회로 구조를 기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. ... 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1]넓은 평야 (
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • ring,jhonson counter 예비레포트
    -설계한 DUT를 검증하기 위한 목적으로 사용한다.-DUT를 내포하고 있으며 DUT의 입력 값을 생성하고, 출력 값을 관찰한다. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산 기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍 할 수 있다. ... 대부분의 FPGA는 프로그래밍 가능 논리 요소에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다.장점에는 간편하게 설계로직을 반복적으로 이식할 수
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • Mux&Decoder2차레포트 디지털회로설계
    REPORT기본로직 설계 및 시뮬레이션 검증제출일2020전 공전자공학과 목디지털회로설계학 번.담당교수.이 름.제 1장 서론1-1 1차 레포트의 필요성 목적1-2 오늘 실습내용의 ... 설계의 RTL viewer과 VHDL의 RTL viewer이 좀 많이 다른 것 같았다VHDL 결과는 컴퓨터의 판단에 의한 가장 최적화된 회로를 보여준다고 배웠기에 ... 1비트 1x2 Demux Schematic , VHDL(4) 2x4 Decoder Schematic , VHDL(5) FND Decoder VHDL을 Schematic과 VHDL 설계하고
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    또한 설계로직시뮬레이션하기 위한 테스트 벤치의 작성법을 익히고 사용한다.나. 실험 이론(1) HDL의 종류a. ... 실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... Prelab(1) Veri순하기 때문에 시뮬레이터가 고속이다.⑤ 검증 정확도가 높으며(설계 도중에 검증이 가능하며 입력신호의 부가, 출력의 관측과 비교가 쉽다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    설계로직시뮬레이션하기 위한 테스트 벤치 작성방법을 익힌다.나. ... modeling을 활용하여 2-input AND 게이트 설계를 진행하시오.(4) Lab 4- Two-input XOR 게이트를 아래의 세가지 방법으로 각각 설계하고, 시뮬레이션으로 ... Purpose of this Lab이번 실험에서는 verilog HDL 언어의 기본 사용법을 익힌다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    HDL 코딩, 시뮬레이션, 게이트 레벨 합성, 포스트 시뮬레이션을 통한 회로의 동작 특성 확인과 같은 반도체 설계 과정을 수행하고, 이를 토대로 회로를 설계 검증하고 고객에게 ... 다음은 HDL 코딩 직무의 중요성을 요약한 내용입니다:반도체 회로 설계와 구현에 핵심적인 역할을 담당합니다.회로 설계시뮬레이션 검증을 수행하여 제품의 신뢰성과 정확성을 보장합니다.다양한 ... 또한, 온라인 자습서나 HDL 관련 포럼과 커뮤니티에서도 유용한 자료를 찾을 수 있습니다.테스트 벤치(Test Bench) 작성 기술이 기술은 HDL 회로의 검증시뮬레이션을 위한
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 2023상반기 현대자동차 R&D 합격 자소서
    전력 입출력 전압 분배에 대한 이해를 높였으며, 설계도와 데이터시트를 바탕으로 회로를 설계하는 역량을 길렀습니다.4) AI프로그래밍 A0 : MATLAB의 기본 문법을 익히고 Python의 ... 설계 하고 검증했습니다. ... 또 논리회로 기초지식을 바탕으로 입출력 제어 로직을 이해하고, 시스템 제어기 개발 업무를 원활히 수행하겠습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • [기초전자회로실험2] Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    발열 사이즈 문제가 심각함테스트벤치(Test bench)테스트벤치는 HDL 로 설계한 논리회로를 시뮬레이션 검증을 하기 위해 사용한다. ... 간편하게 설계로직을 반복적으로 이식할 수 있다? 빠르게 시장에 내다 팔 수 있다. (ASIC 대비)? ... 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.FPGA (Field-Programmable Fate Array) BoardVivado Design Suite 2014.43
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • [기초전자회로실험2] "Verilog Basic, FPGA / Shift register - FPGA" 예비보고서
    모듈의 구조는 아래와 같습니다.- Testbench (Behavioral Modeling)① 시뮬레이션을 위한 모듈이며 설계한 DUT를 검증하기 위한 목적으로 사용한다② DUT를 내포하고 ... 발열 사이즈 문제가 심각함- module모듈(module)은 Verilog HDL에서 시스템을 표현하는 기본 구성요소입니다. ... 간편하게 설계로직을 반복적으로 이식할 수 있다? 빠르게 시장에 내다 팔 수 있다. (ASIC 대비)?
    리포트 | 8페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습 [예비레포트]
    Simulation디바이스 고려 없이 설계한 Design File의 기능만으로 검증하는 시뮬레이션결과 파형에 delay time의 요소가 없다.Timing simulation위의 ... 1-bit Full Adder를 설계한다.시뮬레이션 과정 결과프로젝트 생성 후, New File을 통해 Text File 생성올바른 회로 구성 후 저장(그림22)그림 SEQ 그림 ... 기본적으로 AND gate 논리회로를 설계하고 컴파일 하여 HEB COMBO에 적용 한뒤 회로가 올바르게 작동하는지 살펴보고, 이어서 Half Adder를 Schematic으로 설계하고
    리포트 | 27페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습1 [결과레포트]
    File : 프로그램 파일 생성, 프로그램[IMPACT]ISE SimulatorBehavioral Simulation디바이스 고려 없이 설계한 Design File의 기능만으로 검증하는 ... 9 각 심볼의 연결ISE CompileSynthesize : 설계 파일 변환 작업Implement Design : 핀 배치, 디바이스 로직 배치Generate Programming ... Studies from this Lab기본적인 Xilinx프로그램의 사용법을 공부하였다. 이를 통해서 이전에 이론적으로 학습하였던 다양한 회로들을 직접 설계할 수 있었다.
    리포트 | 25페이지 | 1,000원 | 등록일 2017.10.19
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    SimulatorBehavioral Simulation- 디바이스 고려 없이 설계한 Design File의 기능만으로 검증하는 시뮬레이션- 결과 파형에 delay time의 요소가 ... 이는 기본적인 논리 회로를 설계해보고 이 사용법과 원리를 파악한다.Essential Backgrounds (Required theory) for this LabISE 특징Xilinx ... 디바이스 제어용 소프트웨어설계, 컴파일, 시뮬레이션, 프로그램 지원설계 파일을 프로젝트화해서 관리Schematic & HDL 설계 지원Design EntryMultiple design
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • 현대자동차 연구장학생,차량평가
    이 밖에도 공력, 차체의장, 냉각공조, ADAS 등 시스템 별로 철저하게 시험업무를 수행합니다.전자시험전자시스템이 설계 도면을 기준으로 제작되고 나면, 제어로직검증하고 각 부품의 ... 장착 분해분석하고, 시험로를 드라이빙하는 경우가 많은 활동적인 업무입니다.먼저 차량의 품질을 이루는 각 요소의 기본성능을 분석하고 개발합니다. ... 자동차에 필요한 모든 성능과 시스템에 대해 종합적 이해가 필요한 분야입니다.교육 경험솔라라이트코리아(2018/12/26~2019/2/28)시스템설계 품질관리부서/현장실습원/리튬이온배터리
    자기소개서 | 3페이지 | 3,000원 | 등록일 2019.07.19
  • 공장 자동화
    이러한 장점들을 활용하여 새로운 투자없이 생산성을 향상 시키는 대안 최소한의 투자로 최대한의 효과를 볼 대안들에 대해 검증 평가를 할 수 있다 .공장 자동화의 변화 산업혁명 ... 공정 설계 관리 , 제조 종합관리 기술등의 생산시스템을 전체적으로 통합하는 것으로 궁극적인 목표는 고객의 주문에서부터 제조 출고에 이르기 까지 생산의 모든 단계를 자동으로 ... 따라서 이러한 문제점을 해결하는 효율정인 방안으로 최근 많은 연구자들에 의해 제안된 방법이 시뮬레이션 기법을 활용한 생산라인 구축 , 측정 개선안 도출이다 .시뮬레이션은 실제로
    리포트 | 12페이지 | 1,000원 | 등록일 2017.11.29
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대